OpenCores
URL https://opencores.org/ocsvn/a-z80/a-z80/trunk

Subversion Repositories a-z80

[/] [a-z80/] [trunk/] [cpu/] [bus/] [inc_dec.bdf] - Rev 3

Compare with Previous | Blame | View Log

/*
WARNING: Do NOT edit the input and output ports in this file in a text
editor if you plan to continue editing the block that represents it in
the Block Editor! File corruption is VERY likely to occur.
*/
/*
Copyright (C) 1991-2013 Altera Corporation
Your use of Altera Corporation's design tools, logic functions 
and other software and tools, and its AMPP partner logic 
functions, and any output files from any of the foregoing 
(including device programming or simulation files), and any 
associated documentation or information are expressly subject 
to the terms and conditions of the Altera Program License 
Subscription Agreement, Altera MegaCore Function License 
Agreement, or other applicable license agreement, including, 
without limitation, that your use is for the sole purpose of 
programming logic devices manufactured by Altera and sold by 
Altera or its authorized distributors.  Please refer to the 
applicable agreement for further details.
*/
(header "graphic" (version "1.4"))
(pin
        (input)
        (rect 1928 288 2104 304)
        (text "INPUT" (rect 15 6 43 16)(font "Arial" (font_size 6)))
        (text "carry_in" (rect 127 4 167 16)(font "Arial" ))
        (pt 0 8)
        (drawing
                (line (pt 84 4)(pt 59 4))
                (line (pt 84 12)(pt 59 12))
                (line (pt 55 8)(pt 0 8))
                (line (pt 84 4)(pt 84 12))
                (line (pt 59 12)(pt 55 8))
                (line (pt 59 4)(pt 55 8))
        )
        (rotate180)
        (text "VCC" (rect 20 -1 40 9)(font "Arial" (font_size 6)))
)
(pin
        (input)
        (rect 24 24 192 40)
        (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6)))
        (text "limit6" (rect 5 0 30 12)(font "Arial" ))
        (pt 168 8)
        (drawing
                (line (pt 84 12)(pt 109 12))
                (line (pt 84 4)(pt 109 4))
                (line (pt 113 8)(pt 168 8))
                (line (pt 84 12)(pt 84 4))
                (line (pt 109 4)(pt 113 8))
                (line (pt 109 12)(pt 113 8))
        )
        (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6)))
)
(pin
        (input)
        (rect 24 48 192 64)
        (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6)))
        (text "decrement" (rect 5 0 56 12)(font "Arial" ))
        (pt 168 8)
        (drawing
                (line (pt 84 12)(pt 109 12))
                (line (pt 84 4)(pt 109 4))
                (line (pt 113 8)(pt 168 8))
                (line (pt 84 12)(pt 84 4))
                (line (pt 109 4)(pt 113 8))
                (line (pt 109 12)(pt 113 8))
        )
        (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6)))
)
(pin
        (input)
        (rect 24 72 192 88)
        (text "INPUT" (rect 125 0 153 10)(font "Arial" (font_size 6)))
        (text "d[15..0]" (rect 5 0 42 12)(font "Arial" ))
        (pt 168 8)
        (drawing
                (line (pt 84 12)(pt 109 12))
                (line (pt 84 4)(pt 109 4))
                (line (pt 113 8)(pt 168 8))
                (line (pt 84 12)(pt 84 4))
                (line (pt 109 4)(pt 113 8))
                (line (pt 109 12)(pt 113 8))
        )
        (text "VCC" (rect 128 7 148 17)(font "Arial" (font_size 6)))
)
(pin
        (output)
        (rect 1928 624 2104 640)
        (text "OUTPUT" (rect 1 0 39 10)(font "Arial" (font_size 6)))
        (text "address[15..0]" (rect 90 0 160 12)(font "Arial" ))
        (pt 0 8)
        (drawing
                (line (pt 0 8)(pt 52 8))
                (line (pt 52 4)(pt 78 4))
                (line (pt 52 12)(pt 78 12))
                (line (pt 52 12)(pt 52 4))
                (line (pt 78 4)(pt 82 8))
                (line (pt 82 8)(pt 78 12))
                (line (pt 78 12)(pt 82 8))
        )
)
(symbol
        (rect 1816 112 1864 176)
        (text "XOR" (rect 38 1 48 21)(font "Arial" (font_size 6))(vertical))
        (text "xor0" (rect 0 2 12 23)(font "Arial" )(vertical))
        (port
                (pt 32 0)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 29 2 41 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 32 0)(pt 32 11))
        )
        (port
                (pt 16 0)
                (input)
                (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 13 2 25 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 16 0)(pt 16 11))
        )
        (port
                (pt 24 64)
                (output)
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 21 48 33 65)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 49)(pt 24 64))
        )
        (drawing
                (line (pt 35 14)(pt 35 25))
                (line (pt 12 14)(pt 12 25))
                (arc (pt 19 7)(pt 29 7)(rect 7 -14 40 19))
                (arc (pt 24 49)(pt 35 25)(rect -28 -6 35 57))
                (arc (pt 13 25)(pt 24 49)(rect 12 -6 75 57))
                (arc (pt 12 8)(pt 36 8)(rect 6 -21 41 14))
        )
        (rotate270)
)
(symbol
        (rect 1752 112 1800 176)
        (text "XOR" (rect 38 1 48 21)(font "Arial" (font_size 6))(vertical))
        (text "xor1" (rect 0 2 12 23)(font "Arial" )(vertical))
        (port
                (pt 32 0)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 29 2 41 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 32 0)(pt 32 11))
        )
        (port
                (pt 16 0)
                (input)
                (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 13 2 25 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 16 0)(pt 16 11))
        )
        (port
                (pt 24 64)
                (output)
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 21 48 33 65)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 49)(pt 24 64))
        )
        (drawing
                (line (pt 35 14)(pt 35 25))
                (line (pt 12 14)(pt 12 25))
                (arc (pt 19 7)(pt 29 7)(rect 7 -14 40 19))
                (arc (pt 24 49)(pt 35 25)(rect -28 -6 35 57))
                (arc (pt 13 25)(pt 24 49)(rect 12 -6 75 57))
                (arc (pt 12 8)(pt 36 8)(rect 6 -21 41 14))
        )
        (rotate270)
)
(symbol
        (rect 1624 112 1672 176)
        (text "XOR" (rect 38 1 48 21)(font "Arial" (font_size 6))(vertical))
        (text "xor2" (rect 0 2 12 23)(font "Arial" )(vertical))
        (port
                (pt 32 0)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 29 2 41 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 32 0)(pt 32 11))
        )
        (port
                (pt 16 0)
                (input)
                (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 13 2 25 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 16 0)(pt 16 11))
        )
        (port
                (pt 24 64)
                (output)
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 21 48 33 65)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 49)(pt 24 64))
        )
        (drawing
                (line (pt 35 14)(pt 35 25))
                (line (pt 12 14)(pt 12 25))
                (arc (pt 19 7)(pt 29 7)(rect 7 -14 40 19))
                (arc (pt 24 49)(pt 35 25)(rect -28 -6 35 57))
                (arc (pt 13 25)(pt 24 49)(rect 12 -6 75 57))
                (arc (pt 12 8)(pt 36 8)(rect 6 -21 41 14))
        )
        (rotate270)
)
(symbol
        (rect 1560 112 1608 176)
        (text "XOR" (rect 38 1 48 21)(font "Arial" (font_size 6))(vertical))
        (text "xor3" (rect 0 2 12 23)(font "Arial" )(vertical))
        (port
                (pt 32 0)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 29 2 41 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 32 0)(pt 32 11))
        )
        (port
                (pt 16 0)
                (input)
                (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 13 2 25 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 16 0)(pt 16 11))
        )
        (port
                (pt 24 64)
                (output)
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 21 48 33 65)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 49)(pt 24 64))
        )
        (drawing
                (line (pt 35 14)(pt 35 25))
                (line (pt 12 14)(pt 12 25))
                (arc (pt 19 7)(pt 29 7)(rect 7 -14 40 19))
                (arc (pt 24 49)(pt 35 25)(rect -28 -6 35 57))
                (arc (pt 13 25)(pt 24 49)(rect 12 -6 75 57))
                (arc (pt 12 8)(pt 36 8)(rect 6 -21 41 14))
        )
        (rotate270)
)
(symbol
        (rect 1432 112 1480 176)
        (text "XOR" (rect 38 1 48 21)(font "Arial" (font_size 6))(vertical))
        (text "xor4" (rect 0 2 12 23)(font "Arial" )(vertical))
        (port
                (pt 32 0)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 29 2 41 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 32 0)(pt 32 11))
        )
        (port
                (pt 16 0)
                (input)
                (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 13 2 25 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 16 0)(pt 16 11))
        )
        (port
                (pt 24 64)
                (output)
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 21 48 33 65)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 49)(pt 24 64))
        )
        (drawing
                (line (pt 35 14)(pt 35 25))
                (line (pt 12 14)(pt 12 25))
                (arc (pt 19 7)(pt 29 7)(rect 7 -14 40 19))
                (arc (pt 24 49)(pt 35 25)(rect -28 -6 35 57))
                (arc (pt 13 25)(pt 24 49)(rect 12 -6 75 57))
                (arc (pt 12 8)(pt 36 8)(rect 6 -21 41 14))
        )
        (rotate270)
)
(symbol
        (rect 1368 112 1416 176)
        (text "XOR" (rect 38 1 48 21)(font "Arial" (font_size 6))(vertical))
        (text "xor5" (rect 0 2 12 23)(font "Arial" )(vertical))
        (port
                (pt 32 0)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 29 2 41 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 32 0)(pt 32 11))
        )
        (port
                (pt 16 0)
                (input)
                (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 13 2 25 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 16 0)(pt 16 11))
        )
        (port
                (pt 24 64)
                (output)
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 21 48 33 65)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 49)(pt 24 64))
        )
        (drawing
                (line (pt 35 14)(pt 35 25))
                (line (pt 12 14)(pt 12 25))
                (arc (pt 19 7)(pt 29 7)(rect 7 -14 40 19))
                (arc (pt 24 49)(pt 35 25)(rect -28 -6 35 57))
                (arc (pt 13 25)(pt 24 49)(rect 12 -6 75 57))
                (arc (pt 12 8)(pt 36 8)(rect 6 -21 41 14))
        )
        (rotate270)
)
(symbol
        (rect 1280 504 1328 568)
        (text "XOR" (rect 38 1 48 21)(font "Arial" (font_size 6))(vertical))
        (text "xor6x" (rect 2 0 14 27)(font "Arial" )(vertical))
        (port
                (pt 32 0)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 29 2 41 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 32 0)(pt 32 11))
        )
        (port
                (pt 16 0)
                (input)
                (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 13 2 25 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 16 0)(pt 16 11))
        )
        (port
                (pt 24 64)
                (output)
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 21 48 33 65)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 49)(pt 24 64))
        )
        (drawing
                (line (pt 35 14)(pt 35 25))
                (line (pt 12 14)(pt 12 25))
                (arc (pt 19 7)(pt 29 7)(rect 7 -14 40 19))
                (arc (pt 24 49)(pt 35 25)(rect -28 -6 35 57))
                (arc (pt 13 25)(pt 24 49)(rect 12 -6 75 57))
                (arc (pt 12 8)(pt 36 8)(rect 6 -21 41 14))
        )
        (rotate270)
)
(symbol
        (rect 1240 112 1288 176)
        (text "XOR" (rect 38 1 48 21)(font "Arial" (font_size 6))(vertical))
        (text "xor6" (rect 1 1 13 22)(font "Arial" )(vertical))
        (port
                (pt 32 0)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 29 2 41 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 32 0)(pt 32 11))
        )
        (port
                (pt 16 0)
                (input)
                (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 13 2 25 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 16 0)(pt 16 11))
        )
        (port
                (pt 24 64)
                (output)
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 21 48 33 65)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 49)(pt 24 64))
        )
        (drawing
                (line (pt 35 14)(pt 35 25))
                (line (pt 12 14)(pt 12 25))
                (arc (pt 19 7)(pt 29 7)(rect 7 -14 40 19))
                (arc (pt 24 49)(pt 35 25)(rect -28 -6 35 57))
                (arc (pt 13 25)(pt 24 49)(rect 12 -6 75 57))
                (arc (pt 12 8)(pt 36 8)(rect 6 -21 41 14))
        )
        (rotate270)
)
(symbol
        (rect 1176 168 1240 312)
        (text "AND8" (rect 39 134 63 144)(font "Arial" (font_size 6)))
        (text "cl1" (rect 44 -1 58 11)(font "Arial" ))
        (port
                (pt 64 128)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 45 125 62 137)(font "Courier New" (bold))(invisible))
                (line (pt 64 128)(pt 46 128))
        )
        (port
                (pt 64 96)
                (input)
                (text "IN3" (rect 2 39 19 51)(font "Courier New" (bold))(invisible))
                (text "IN3" (rect 45 93 62 105)(font "Courier New" (bold))(invisible))
                (line (pt 64 96)(pt 46 96))
        )
        (port
                (pt 64 112)
                (input)
                (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 45 109 62 121)(font "Courier New" (bold))(invisible))
                (line (pt 64 112)(pt 46 112))
        )
        (port
                (pt 64 80)
                (input)
                (text "IN4" (rect 2 55 19 67)(font "Courier New" (bold))(invisible))
                (text "IN4" (rect 45 77 62 89)(font "Courier New" (bold))(invisible))
                (line (pt 64 80)(pt 46 80))
        )
        (port
                (pt 64 32)
                (input)
                (text "IN7" (rect 2 103 19 115)(font "Courier New" (bold))(invisible))
                (text "IN7" (rect 45 29 62 41)(font "Courier New" (bold))(invisible))
                (line (pt 64 32)(pt 46 32))
        )
        (port
                (pt 64 48)
                (input)
                (text "IN6" (rect 2 87 19 99)(font "Courier New" (bold))(invisible))
                (text "IN6" (rect 45 45 62 57)(font "Courier New" (bold))(invisible))
                (line (pt 64 48)(pt 46 48))
        )
        (port
                (pt 64 16)
                (input)
                (text "IN8" (rect 2 119 19 131)(font "Courier New" (bold))(invisible))
                (text "IN8" (rect 45 13 62 25)(font "Courier New" (bold))(invisible))
                (line (pt 64 16)(pt 46 16))
        )
        (port
                (pt 64 64)
                (input)
                (text "IN5" (rect 2 71 19 83)(font "Courier New" (bold))(invisible))
                (text "IN5" (rect 45 61 62 73)(font "Courier New" (bold))(invisible))
                (line (pt 64 64)(pt 46 64))
        )
        (port
                (pt 0 72)
                (output)
                (text "OUT" (rect 48 63 65 75)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect -1 69 16 81)(font "Courier New" (bold))(invisible))
                (line (pt 18 72)(pt 0 72))
        )
        (drawing
                (line (pt 46 13)(pt 46 131))
                (line (pt 46 85)(pt 31 85))
                (line (pt 46 58)(pt 31 58))
                (arc (pt 31 59)(pt 31 85)(rect 17 58 44 85))
        )
        (rotate180)
)
(symbol
        (rect 1120 248 1168 312)
        (text "AND2" (rect 38 1 48 25)(font "Arial" (font_size 6))(vertical))
        (text "lim" (rect -1 12 11 26)(font "Arial" )(vertical))
        (port
                (pt 32 0)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 29 2 41 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 32 0)(pt 32 14))
        )
        (port
                (pt 16 0)
                (input)
                (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 13 2 25 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 16 0)(pt 16 14))
        )
        (port
                (pt 24 64)
                (output)
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 21 48 33 65)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 42)(pt 24 64))
        )
        (drawing
                (line (pt 36 14)(pt 36 30))
                (line (pt 11 14)(pt 11 31))
                (line (pt 36 14)(pt 11 14))
                (arc (pt 11 31)(pt 36 30)(rect 11 18 36 43))
        )
        (rotate270)
)
(symbol
        (rect 800 112 848 176)
        (text "XOR" (rect 38 1 48 21)(font "Arial" (font_size 6))(vertical))
        (text "xor10" (rect 2 0 14 27)(font "Arial" )(vertical))
        (port
                (pt 32 0)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 29 2 41 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 32 0)(pt 32 11))
        )
        (port
                (pt 16 0)
                (input)
                (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 13 2 25 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 16 0)(pt 16 11))
        )
        (port
                (pt 24 64)
                (output)
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 21 48 33 65)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 49)(pt 24 64))
        )
        (drawing
                (line (pt 35 14)(pt 35 25))
                (line (pt 12 14)(pt 12 25))
                (arc (pt 19 7)(pt 29 7)(rect 7 -14 40 19))
                (arc (pt 24 49)(pt 35 25)(rect -28 -6 35 57))
                (arc (pt 13 25)(pt 24 49)(rect 12 -6 75 57))
                (arc (pt 12 8)(pt 36 8)(rect 6 -21 41 14))
        )
        (rotate270)
)
(symbol
        (rect 864 112 912 176)
        (text "XOR" (rect 38 1 48 21)(font "Arial" (font_size 6))(vertical))
        (text "xor9" (rect 2 0 14 21)(font "Arial" )(vertical))
        (port
                (pt 32 0)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 29 2 41 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 32 0)(pt 32 11))
        )
        (port
                (pt 16 0)
                (input)
                (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 13 2 25 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 16 0)(pt 16 11))
        )
        (port
                (pt 24 64)
                (output)
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 21 48 33 65)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 49)(pt 24 64))
        )
        (drawing
                (line (pt 35 14)(pt 35 25))
                (line (pt 12 14)(pt 12 25))
                (arc (pt 19 7)(pt 29 7)(rect 7 -14 40 19))
                (arc (pt 24 49)(pt 35 25)(rect -28 -6 35 57))
                (arc (pt 13 25)(pt 24 49)(rect 12 -6 75 57))
                (arc (pt 12 8)(pt 36 8)(rect 6 -21 41 14))
        )
        (rotate270)
)
(symbol
        (rect 992 112 1040 176)
        (text "XOR" (rect 38 1 48 21)(font "Arial" (font_size 6))(vertical))
        (text "xor8" (rect 2 0 14 21)(font "Arial" )(vertical))
        (port
                (pt 32 0)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 29 2 41 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 32 0)(pt 32 11))
        )
        (port
                (pt 16 0)
                (input)
                (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 13 2 25 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 16 0)(pt 16 11))
        )
        (port
                (pt 24 64)
                (output)
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 21 48 33 65)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 49)(pt 24 64))
        )
        (drawing
                (line (pt 35 14)(pt 35 25))
                (line (pt 12 14)(pt 12 25))
                (arc (pt 19 7)(pt 29 7)(rect 7 -14 40 19))
                (arc (pt 24 49)(pt 35 25)(rect -28 -6 35 57))
                (arc (pt 13 25)(pt 24 49)(rect 12 -6 75 57))
                (arc (pt 12 8)(pt 36 8)(rect 6 -21 41 14))
        )
        (rotate270)
)
(symbol
        (rect 1056 112 1104 176)
        (text "XOR" (rect 38 1 48 21)(font "Arial" (font_size 6))(vertical))
        (text "xor7" (rect 2 0 14 21)(font "Arial" )(vertical))
        (port
                (pt 32 0)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 29 2 41 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 32 0)(pt 32 11))
        )
        (port
                (pt 16 0)
                (input)
                (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 13 2 25 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 16 0)(pt 16 11))
        )
        (port
                (pt 24 64)
                (output)
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 21 48 33 65)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 49)(pt 24 64))
        )
        (drawing
                (line (pt 35 14)(pt 35 25))
                (line (pt 12 14)(pt 12 25))
                (arc (pt 19 7)(pt 29 7)(rect 7 -14 40 19))
                (arc (pt 24 49)(pt 35 25)(rect -28 -6 35 57))
                (arc (pt 13 25)(pt 24 49)(rect 12 -6 75 57))
                (arc (pt 12 8)(pt 36 8)(rect 6 -21 41 14))
        )
        (rotate270)
)
(symbol
        (rect 1120 168 1152 216)
        (text "NOT" (rect 22 1 32 21)(font "Arial" (font_size 6))(vertical))
        (text "not1" (rect 0 2 12 23)(font "Arial" )(vertical))
        (port
                (pt 16 0)
                (input)
                (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible))
                (text "IN" (rect 13 2 25 13)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 16 0)(pt 16 13))
        )
        (port
                (pt 16 48)
                (output)
                (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 13 32 25 49)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 16 39)(pt 16 48))
        )
        (drawing
                (line (pt 7 13)(pt 25 13))
                (line (pt 25 13)(pt 16 31))
                (line (pt 7 13)(pt 16 31))
                (circle (rect 12 31 20 39))
        )
        (rotate270)
)
(symbol
        (rect 712 504 760 568)
        (text "XOR" (rect 38 1 48 21)(font "Arial" (font_size 6))(vertical))
        (text "xor11x" (rect 3 -1 15 32)(font "Arial" )(vertical))
        (port
                (pt 32 0)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 29 2 41 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 32 0)(pt 32 11))
        )
        (port
                (pt 16 0)
                (input)
                (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 13 2 25 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 16 0)(pt 16 11))
        )
        (port
                (pt 24 64)
                (output)
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 21 48 33 65)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 49)(pt 24 64))
        )
        (drawing
                (line (pt 35 14)(pt 35 25))
                (line (pt 12 14)(pt 12 25))
                (arc (pt 19 7)(pt 29 7)(rect 7 -14 40 19))
                (arc (pt 24 49)(pt 35 25)(rect -28 -6 35 57))
                (arc (pt 13 25)(pt 24 49)(rect 12 -6 75 57))
                (arc (pt 12 8)(pt 36 8)(rect 6 -21 41 14))
        )
        (rotate270)
)
(symbol
        (rect 672 112 720 176)
        (text "XOR" (rect 38 1 48 21)(font "Arial" (font_size 6))(vertical))
        (text "xor11" (rect 1 1 13 28)(font "Arial" )(vertical))
        (port
                (pt 32 0)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 29 2 41 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 32 0)(pt 32 11))
        )
        (port
                (pt 16 0)
                (input)
                (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 13 2 25 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 16 0)(pt 16 11))
        )
        (port
                (pt 24 64)
                (output)
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 21 48 33 65)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 49)(pt 24 64))
        )
        (drawing
                (line (pt 35 14)(pt 35 25))
                (line (pt 12 14)(pt 12 25))
                (arc (pt 19 7)(pt 29 7)(rect 7 -14 40 19))
                (arc (pt 24 49)(pt 35 25)(rect -28 -6 35 57))
                (arc (pt 13 25)(pt 24 49)(rect 12 -6 75 57))
                (arc (pt 12 8)(pt 36 8)(rect 6 -21 41 14))
        )
        (rotate270)
)
(symbol
        (rect 608 168 672 280)
        (text "AND6" (rect 39 102 63 112)(font "Arial" (font_size 6)))
        (text "cl2" (rect 44 -1 58 11)(font "Arial" ))
        (port
                (pt 64 64)
                (input)
                (text "IN3" (rect 2 39 19 51)(font "Courier New" (bold))(invisible))
                (text "IN3" (rect 45 61 62 73)(font "Courier New" (bold))(invisible))
                (line (pt 64 64)(pt 46 64))
        )
        (port
                (pt 64 96)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 45 93 62 105)(font "Courier New" (bold))(invisible))
                (line (pt 64 96)(pt 46 96))
        )
        (port
                (pt 64 80)
                (input)
                (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 45 77 62 89)(font "Courier New" (bold))(invisible))
                (line (pt 64 80)(pt 46 80))
        )
        (port
                (pt 64 48)
                (input)
                (text "IN4" (rect 2 55 19 67)(font "Courier New" (bold))(invisible))
                (text "IN4" (rect 45 45 62 57)(font "Courier New" (bold))(invisible))
                (line (pt 64 48)(pt 46 48))
        )
        (port
                (pt 64 32)
                (input)
                (text "IN5" (rect 2 71 19 83)(font "Courier New" (bold))(invisible))
                (text "IN5" (rect 45 29 62 41)(font "Courier New" (bold))(invisible))
                (line (pt 64 32)(pt 46 32))
        )
        (port
                (pt 64 16)
                (input)
                (text "IN6" (rect 2 87 19 99)(font "Courier New" (bold))(invisible))
                (text "IN6" (rect 45 13 62 25)(font "Courier New" (bold))(invisible))
                (line (pt 64 16)(pt 46 16))
        )
        (port
                (pt 0 56)
                (output)
                (text "OUT" (rect 48 47 65 59)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect -1 53 16 65)(font "Courier New" (bold))(invisible))
                (line (pt 18 56)(pt 0 56))
        )
        (drawing
                (line (pt 46 69)(pt 31 69))
                (line (pt 46 13)(pt 46 99))
                (line (pt 46 42)(pt 30 42))
                (arc (pt 30 43)(pt 31 69)(rect 17 42 44 69))
        )
        (rotate180)
)
(symbol
        (rect 528 112 576 176)
        (text "XOR" (rect 38 1 48 21)(font "Arial" (font_size 6))(vertical))
        (text "xor12" (rect 1 1 13 28)(font "Arial" )(vertical))
        (port
                (pt 32 0)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 29 2 41 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 32 0)(pt 32 11))
        )
        (port
                (pt 16 0)
                (input)
                (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 13 2 25 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 16 0)(pt 16 11))
        )
        (port
                (pt 24 64)
                (output)
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 21 48 33 65)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 49)(pt 24 64))
        )
        (drawing
                (line (pt 35 14)(pt 35 25))
                (line (pt 12 14)(pt 12 25))
                (arc (pt 19 7)(pt 29 7)(rect 7 -14 40 19))
                (arc (pt 24 49)(pt 35 25)(rect -28 -6 35 57))
                (arc (pt 13 25)(pt 24 49)(rect 12 -6 75 57))
                (arc (pt 12 8)(pt 36 8)(rect 6 -21 41 14))
        )
        (rotate270)
)
(symbol
        (rect 464 112 512 176)
        (text "XOR" (rect 38 1 48 21)(font "Arial" (font_size 6))(vertical))
        (text "xor13" (rect 1 1 13 28)(font "Arial" )(vertical))
        (port
                (pt 32 0)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 29 2 41 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 32 0)(pt 32 11))
        )
        (port
                (pt 16 0)
                (input)
                (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 13 2 25 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 16 0)(pt 16 11))
        )
        (port
                (pt 24 64)
                (output)
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 21 48 33 65)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 49)(pt 24 64))
        )
        (drawing
                (line (pt 35 14)(pt 35 25))
                (line (pt 12 14)(pt 12 25))
                (arc (pt 19 7)(pt 29 7)(rect 7 -14 40 19))
                (arc (pt 24 49)(pt 35 25)(rect -28 -6 35 57))
                (arc (pt 13 25)(pt 24 49)(rect 12 -6 75 57))
                (arc (pt 12 8)(pt 36 8)(rect 6 -21 41 14))
        )
        (rotate270)
)
(symbol
        (rect 376 504 424 568)
        (text "XOR" (rect 38 1 48 21)(font "Arial" (font_size 6))(vertical))
        (text "xor14x" (rect 5 -3 17 30)(font "Arial" )(vertical))
        (port
                (pt 32 0)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 29 2 41 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 32 0)(pt 32 11))
        )
        (port
                (pt 16 0)
                (input)
                (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 13 2 25 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 16 0)(pt 16 11))
        )
        (port
                (pt 24 64)
                (output)
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 21 48 33 65)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 49)(pt 24 64))
        )
        (drawing
                (line (pt 35 14)(pt 35 25))
                (line (pt 12 14)(pt 12 25))
                (arc (pt 19 7)(pt 29 7)(rect 7 -14 40 19))
                (arc (pt 24 49)(pt 35 25)(rect -28 -6 35 57))
                (arc (pt 13 25)(pt 24 49)(rect 12 -6 75 57))
                (arc (pt 12 8)(pt 36 8)(rect 6 -21 41 14))
        )
        (rotate270)
)
(symbol
        (rect 336 112 384 176)
        (text "XOR" (rect 38 1 48 21)(font "Arial" (font_size 6))(vertical))
        (text "xor14" (rect 2 0 14 27)(font "Arial" )(vertical))
        (port
                (pt 32 0)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 29 2 41 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 32 0)(pt 32 11))
        )
        (port
                (pt 16 0)
                (input)
                (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 13 2 25 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 16 0)(pt 16 11))
        )
        (port
                (pt 24 64)
                (output)
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 21 48 33 65)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 49)(pt 24 64))
        )
        (drawing
                (line (pt 35 14)(pt 35 25))
                (line (pt 12 14)(pt 12 25))
                (arc (pt 19 7)(pt 29 7)(rect 7 -14 40 19))
                (arc (pt 24 49)(pt 35 25)(rect -28 -6 35 57))
                (arc (pt 13 25)(pt 24 49)(rect 12 -6 75 57))
                (arc (pt 12 8)(pt 36 8)(rect 6 -21 41 14))
        )
        (rotate270)
)
(symbol
        (rect 272 168 336 248)
        (text "AND4" (rect 39 70 63 80)(font "Arial" (font_size 6)))
        (text "cl3" (rect 44 -1 58 11)(font "Arial" ))
        (port
                (pt 64 64)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 45 61 62 73)(font "Courier New" (bold))(invisible))
                (line (pt 64 64)(pt 46 64))
        )
        (port
                (pt 64 48)
                (input)
                (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 45 45 62 57)(font "Courier New" (bold))(invisible))
                (line (pt 64 48)(pt 46 48))
        )
        (port
                (pt 64 32)
                (input)
                (text "IN3" (rect 2 39 19 51)(font "Courier New" (bold))(invisible))
                (text "IN3" (rect 45 29 62 41)(font "Courier New" (bold))(invisible))
                (line (pt 64 32)(pt 46 32))
        )
        (port
                (pt 64 16)
                (input)
                (text "IN4" (rect 2 55 19 67)(font "Courier New" (bold))(invisible))
                (text "IN4" (rect 45 13 62 25)(font "Courier New" (bold))(invisible))
                (line (pt 64 16)(pt 46 16))
        )
        (port
                (pt 0 40)
                (output)
                (text "OUT" (rect 48 31 65 43)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect -1 37 16 49)(font "Courier New" (bold))(invisible))
                (line (pt 18 40)(pt 0 40))
        )
        (drawing
                (line (pt 46 52)(pt 30 52))
                (line (pt 46 28)(pt 46 52))
                (line (pt 46 13)(pt 46 67))
                (line (pt 30 27)(pt 46 27))
                (arc (pt 30 28)(pt 30 52)(rect 17 27 42 52))
        )
        (rotate180)
)
(symbol
        (rect 224 504 272 568)
        (text "XOR" (rect 38 1 48 21)(font "Arial" (font_size 6))(vertical))
        (text "xor15x" (rect 6 -4 18 29)(font "Arial" )(vertical))
        (port
                (pt 32 0)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 29 2 41 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 32 0)(pt 32 11))
        )
        (port
                (pt 16 0)
                (input)
                (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 13 2 25 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 16 0)(pt 16 11))
        )
        (port
                (pt 24 64)
                (output)
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 21 48 33 65)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 49)(pt 24 64))
        )
        (drawing
                (line (pt 35 14)(pt 35 25))
                (line (pt 12 14)(pt 12 25))
                (arc (pt 19 7)(pt 29 7)(rect 7 -14 40 19))
                (arc (pt 24 49)(pt 35 25)(rect -28 -6 35 57))
                (arc (pt 13 25)(pt 24 49)(rect 12 -6 75 57))
                (arc (pt 12 8)(pt 36 8)(rect 6 -21 41 14))
        )
        (rotate270)
)
(symbol
        (rect 1752 328 1912 576)
        (text "inc_dec_2bit" (rect 146 16 160 87)(font "Arial" (font_size 8))(vertical))
        (text "dual_adder_0" (rect 80 108 92 172)(font "Arial" )(vertical))
        (port
                (pt 136 0)
                (input)
                (text "carry_borrow_in" (rect 0 0 96 14)(font "Arial" (font_size 8)))
                (text "carry_borrow_in" (rect 127 21 141 117)(font "Arial" (font_size 8))(vertical))
                (line (pt 136 0)(pt 136 16))
        )
        (port
                (pt 56 0)
                (input)
                (text "d1_in" (rect 0 0 30 14)(font "Arial" (font_size 8)))
                (text "d1_in" (rect 47 21 61 51)(font "Arial" (font_size 8))(vertical))
                (line (pt 56 0)(pt 56 16))
        )
        (port
                (pt 120 0)
                (input)
                (text "d0_in" (rect 0 0 30 14)(font "Arial" (font_size 8)))
                (text "d0_in" (rect 111 21 125 51)(font "Arial" (font_size 8))(vertical))
                (line (pt 120 0)(pt 120 16))
        )
        (port
                (pt 24 0)
                (input)
                (text "dec1_in" (rect 0 0 44 14)(font "Arial" (font_size 8)))
                (text "dec1_in" (rect 15 21 29 65)(font "Arial" (font_size 8))(vertical))
                (line (pt 24 0)(pt 24 16))
        )
        (port
                (pt 88 0)
                (input)
                (text "dec0_in" (rect 0 0 44 14)(font "Arial" (font_size 8)))
                (text "dec0_in" (rect 79 21 93 65)(font "Arial" (font_size 8))(vertical))
                (line (pt 88 0)(pt 88 16))
        )
        (port
                (pt 32 248)
                (output)
                (text "carry_borrow_out" (rect -8 0 97 14)(font "Arial" (font_size 8)))
                (text "carry_borrow_out" (rect 23 122 37 227)(font "Arial" (font_size 8))(vertical))
                (line (pt 32 248)(pt 32 232))
        )
        (port
                (pt 80 248)
                (output)
                (text "d1_out" (rect -8 0 30 14)(font "Arial" (font_size 8)))
                (text "d1_out" (rect 71 189 85 227)(font "Arial" (font_size 8))(vertical))
                (line (pt 80 248)(pt 80 232))
        )
        (port
                (pt 104 248)
                (output)
                (text "d0_out" (rect -8 0 30 14)(font "Arial" (font_size 8)))
                (text "d0_out" (rect 95 189 109 227)(font "Arial" (font_size 8))(vertical))
                (line (pt 104 248)(pt 104 232))
        )
        (drawing
                (rectangle (rect 8 16 144 240))
        )
        (rotate270)
        (fill (color 255 170 255))
)
(symbol
        (rect 1560 328 1720 576)
        (text "inc_dec_2bit" (rect 146 16 160 87)(font "Arial" (font_size 8))(vertical))
        (text "dual_adder_2" (rect 79 109 91 173)(font "Arial" )(vertical))
        (port
                (pt 136 0)
                (input)
                (text "carry_borrow_in" (rect 0 0 96 14)(font "Arial" (font_size 8)))
                (text "carry_borrow_in" (rect 127 21 141 117)(font "Arial" (font_size 8))(vertical))
                (line (pt 136 0)(pt 136 16))
        )
        (port
                (pt 56 0)
                (input)
                (text "d1_in" (rect 0 0 30 14)(font "Arial" (font_size 8)))
                (text "d1_in" (rect 47 21 61 51)(font "Arial" (font_size 8))(vertical))
                (line (pt 56 0)(pt 56 16))
        )
        (port
                (pt 120 0)
                (input)
                (text "d0_in" (rect 0 0 30 14)(font "Arial" (font_size 8)))
                (text "d0_in" (rect 111 21 125 51)(font "Arial" (font_size 8))(vertical))
                (line (pt 120 0)(pt 120 16))
        )
        (port
                (pt 24 0)
                (input)
                (text "dec1_in" (rect 0 0 44 14)(font "Arial" (font_size 8)))
                (text "dec1_in" (rect 15 21 29 65)(font "Arial" (font_size 8))(vertical))
                (line (pt 24 0)(pt 24 16))
        )
        (port
                (pt 88 0)
                (input)
                (text "dec0_in" (rect 0 0 44 14)(font "Arial" (font_size 8)))
                (text "dec0_in" (rect 79 21 93 65)(font "Arial" (font_size 8))(vertical))
                (line (pt 88 0)(pt 88 16))
        )
        (port
                (pt 32 248)
                (output)
                (text "carry_borrow_out" (rect -8 0 97 14)(font "Arial" (font_size 8)))
                (text "carry_borrow_out" (rect 23 122 37 227)(font "Arial" (font_size 8))(vertical))
                (line (pt 32 248)(pt 32 232))
        )
        (port
                (pt 80 248)
                (output)
                (text "d1_out" (rect -8 0 30 14)(font "Arial" (font_size 8)))
                (text "d1_out" (rect 71 189 85 227)(font "Arial" (font_size 8))(vertical))
                (line (pt 80 248)(pt 80 232))
        )
        (port
                (pt 104 248)
                (output)
                (text "d0_out" (rect -8 0 30 14)(font "Arial" (font_size 8)))
                (text "d0_out" (rect 95 189 109 227)(font "Arial" (font_size 8))(vertical))
                (line (pt 104 248)(pt 104 232))
        )
        (drawing
                (rectangle (rect 8 16 144 240))
        )
        (rotate270)
        (fill (color 255 170 255))
)
(symbol
        (rect 1368 328 1528 576)
        (text "inc_dec_2bit" (rect 146 16 160 87)(font "Arial" (font_size 8))(vertical))
        (text "dual_adder_4" (rect 80 108 92 172)(font "Arial" )(vertical))
        (port
                (pt 136 0)
                (input)
                (text "carry_borrow_in" (rect 0 0 96 14)(font "Arial" (font_size 8)))
                (text "carry_borrow_in" (rect 127 21 141 117)(font "Arial" (font_size 8))(vertical))
                (line (pt 136 0)(pt 136 16))
        )
        (port
                (pt 56 0)
                (input)
                (text "d1_in" (rect 0 0 30 14)(font "Arial" (font_size 8)))
                (text "d1_in" (rect 47 21 61 51)(font "Arial" (font_size 8))(vertical))
                (line (pt 56 0)(pt 56 16))
        )
        (port
                (pt 120 0)
                (input)
                (text "d0_in" (rect 0 0 30 14)(font "Arial" (font_size 8)))
                (text "d0_in" (rect 111 21 125 51)(font "Arial" (font_size 8))(vertical))
                (line (pt 120 0)(pt 120 16))
        )
        (port
                (pt 24 0)
                (input)
                (text "dec1_in" (rect 0 0 44 14)(font "Arial" (font_size 8)))
                (text "dec1_in" (rect 15 21 29 65)(font "Arial" (font_size 8))(vertical))
                (line (pt 24 0)(pt 24 16))
        )
        (port
                (pt 88 0)
                (input)
                (text "dec0_in" (rect 0 0 44 14)(font "Arial" (font_size 8)))
                (text "dec0_in" (rect 79 21 93 65)(font "Arial" (font_size 8))(vertical))
                (line (pt 88 0)(pt 88 16))
        )
        (port
                (pt 32 248)
                (output)
                (text "carry_borrow_out" (rect -8 0 97 14)(font "Arial" (font_size 8)))
                (text "carry_borrow_out" (rect 23 122 37 227)(font "Arial" (font_size 8))(vertical))
                (line (pt 32 248)(pt 32 232))
        )
        (port
                (pt 80 248)
                (output)
                (text "d1_out" (rect -8 0 30 14)(font "Arial" (font_size 8)))
                (text "d1_out" (rect 71 189 85 227)(font "Arial" (font_size 8))(vertical))
                (line (pt 80 248)(pt 80 232))
        )
        (port
                (pt 104 248)
                (output)
                (text "d0_out" (rect -8 0 30 14)(font "Arial" (font_size 8)))
                (text "d0_out" (rect 95 189 109 227)(font "Arial" (font_size 8))(vertical))
                (line (pt 104 248)(pt 104 232))
        )
        (drawing
                (rectangle (rect 8 16 144 240))
        )
        (rotate270)
        (fill (color 255 170 255))
)
(symbol
        (rect 992 328 1152 576)
        (text "inc_dec_2bit" (rect 146 16 160 87)(font "Arial" (font_size 8))(vertical))
        (text "dual_adder_7" (rect 80 108 92 172)(font "Arial" )(vertical))
        (port
                (pt 136 0)
                (input)
                (text "carry_borrow_in" (rect 0 0 96 14)(font "Arial" (font_size 8)))
                (text "carry_borrow_in" (rect 127 21 141 117)(font "Arial" (font_size 8))(vertical))
                (line (pt 136 0)(pt 136 16))
        )
        (port
                (pt 56 0)
                (input)
                (text "d1_in" (rect 0 0 30 14)(font "Arial" (font_size 8)))
                (text "d1_in" (rect 47 21 61 51)(font "Arial" (font_size 8))(vertical))
                (line (pt 56 0)(pt 56 16))
        )
        (port
                (pt 120 0)
                (input)
                (text "d0_in" (rect 0 0 30 14)(font "Arial" (font_size 8)))
                (text "d0_in" (rect 111 21 125 51)(font "Arial" (font_size 8))(vertical))
                (line (pt 120 0)(pt 120 16))
        )
        (port
                (pt 24 0)
                (input)
                (text "dec1_in" (rect 0 0 44 14)(font "Arial" (font_size 8)))
                (text "dec1_in" (rect 15 21 29 65)(font "Arial" (font_size 8))(vertical))
                (line (pt 24 0)(pt 24 16))
        )
        (port
                (pt 88 0)
                (input)
                (text "dec0_in" (rect 0 0 44 14)(font "Arial" (font_size 8)))
                (text "dec0_in" (rect 79 21 93 65)(font "Arial" (font_size 8))(vertical))
                (line (pt 88 0)(pt 88 16))
        )
        (port
                (pt 32 248)
                (output)
                (text "carry_borrow_out" (rect -8 0 97 14)(font "Arial" (font_size 8)))
                (text "carry_borrow_out" (rect 23 122 37 227)(font "Arial" (font_size 8))(vertical))
                (line (pt 32 248)(pt 32 232))
        )
        (port
                (pt 80 248)
                (output)
                (text "d1_out" (rect -8 0 30 14)(font "Arial" (font_size 8)))
                (text "d1_out" (rect 71 189 85 227)(font "Arial" (font_size 8))(vertical))
                (line (pt 80 248)(pt 80 232))
        )
        (port
                (pt 104 248)
                (output)
                (text "d0_out" (rect -8 0 30 14)(font "Arial" (font_size 8)))
                (text "d0_out" (rect 95 189 109 227)(font "Arial" (font_size 8))(vertical))
                (line (pt 104 248)(pt 104 232))
        )
        (drawing
                (rectangle (rect 8 16 144 240))
        )
        (rotate270)
        (fill (color 255 170 255))
)
(symbol
        (rect 800 328 960 576)
        (text "inc_dec_2bit" (rect 146 16 160 87)(font "Arial" (font_size 8))(vertical))
        (text "dual_adder_9" (rect 80 108 92 172)(font "Arial" )(vertical))
        (port
                (pt 136 0)
                (input)
                (text "carry_borrow_in" (rect 0 0 96 14)(font "Arial" (font_size 8)))
                (text "carry_borrow_in" (rect 127 21 141 117)(font "Arial" (font_size 8))(vertical))
                (line (pt 136 0)(pt 136 16))
        )
        (port
                (pt 56 0)
                (input)
                (text "d1_in" (rect 0 0 30 14)(font "Arial" (font_size 8)))
                (text "d1_in" (rect 47 21 61 51)(font "Arial" (font_size 8))(vertical))
                (line (pt 56 0)(pt 56 16))
        )
        (port
                (pt 120 0)
                (input)
                (text "d0_in" (rect 0 0 30 14)(font "Arial" (font_size 8)))
                (text "d0_in" (rect 111 21 125 51)(font "Arial" (font_size 8))(vertical))
                (line (pt 120 0)(pt 120 16))
        )
        (port
                (pt 24 0)
                (input)
                (text "dec1_in" (rect 0 0 44 14)(font "Arial" (font_size 8)))
                (text "dec1_in" (rect 15 21 29 65)(font "Arial" (font_size 8))(vertical))
                (line (pt 24 0)(pt 24 16))
        )
        (port
                (pt 88 0)
                (input)
                (text "dec0_in" (rect 0 0 44 14)(font "Arial" (font_size 8)))
                (text "dec0_in" (rect 79 21 93 65)(font "Arial" (font_size 8))(vertical))
                (line (pt 88 0)(pt 88 16))
        )
        (port
                (pt 32 248)
                (output)
                (text "carry_borrow_out" (rect -8 0 97 14)(font "Arial" (font_size 8)))
                (text "carry_borrow_out" (rect 23 122 37 227)(font "Arial" (font_size 8))(vertical))
                (line (pt 32 248)(pt 32 232))
        )
        (port
                (pt 80 248)
                (output)
                (text "d1_out" (rect -8 0 30 14)(font "Arial" (font_size 8)))
                (text "d1_out" (rect 71 189 85 227)(font "Arial" (font_size 8))(vertical))
                (line (pt 80 248)(pt 80 232))
        )
        (port
                (pt 104 248)
                (output)
                (text "d0_out" (rect -8 0 30 14)(font "Arial" (font_size 8)))
                (text "d0_out" (rect 95 189 109 227)(font "Arial" (font_size 8))(vertical))
                (line (pt 104 248)(pt 104 232))
        )
        (drawing
                (rectangle (rect 8 16 144 240))
        )
        (rotate270)
        (fill (color 255 170 255))
)
(symbol
        (rect 464 328 624 576)
        (text "inc_dec_2bit" (rect 146 16 160 87)(font "Arial" (font_size 8))(vertical))
        (text "dual_adder_10" (rect 80 108 92 178)(font "Arial" )(vertical))
        (port
                (pt 136 0)
                (input)
                (text "carry_borrow_in" (rect 0 0 96 14)(font "Arial" (font_size 8)))
                (text "carry_borrow_in" (rect 127 21 141 117)(font "Arial" (font_size 8))(vertical))
                (line (pt 136 0)(pt 136 16))
        )
        (port
                (pt 56 0)
                (input)
                (text "d1_in" (rect 0 0 30 14)(font "Arial" (font_size 8)))
                (text "d1_in" (rect 47 21 61 51)(font "Arial" (font_size 8))(vertical))
                (line (pt 56 0)(pt 56 16))
        )
        (port
                (pt 120 0)
                (input)
                (text "d0_in" (rect 0 0 30 14)(font "Arial" (font_size 8)))
                (text "d0_in" (rect 111 21 125 51)(font "Arial" (font_size 8))(vertical))
                (line (pt 120 0)(pt 120 16))
        )
        (port
                (pt 24 0)
                (input)
                (text "dec1_in" (rect 0 0 44 14)(font "Arial" (font_size 8)))
                (text "dec1_in" (rect 15 21 29 65)(font "Arial" (font_size 8))(vertical))
                (line (pt 24 0)(pt 24 16))
        )
        (port
                (pt 88 0)
                (input)
                (text "dec0_in" (rect 0 0 44 14)(font "Arial" (font_size 8)))
                (text "dec0_in" (rect 79 21 93 65)(font "Arial" (font_size 8))(vertical))
                (line (pt 88 0)(pt 88 16))
        )
        (port
                (pt 32 248)
                (output)
                (text "carry_borrow_out" (rect -8 0 97 14)(font "Arial" (font_size 8)))
                (text "carry_borrow_out" (rect 23 122 37 227)(font "Arial" (font_size 8))(vertical))
                (line (pt 32 248)(pt 32 232))
        )
        (port
                (pt 80 248)
                (output)
                (text "d1_out" (rect -8 0 30 14)(font "Arial" (font_size 8)))
                (text "d1_out" (rect 71 189 85 227)(font "Arial" (font_size 8))(vertical))
                (line (pt 80 248)(pt 80 232))
        )
        (port
                (pt 104 248)
                (output)
                (text "d0_out" (rect -8 0 30 14)(font "Arial" (font_size 8)))
                (text "d0_out" (rect 95 189 109 227)(font "Arial" (font_size 8))(vertical))
                (line (pt 104 248)(pt 104 232))
        )
        (drawing
                (rectangle (rect 8 16 144 240))
        )
        (rotate270)
        (fill (color 255 170 255))
)
(connector
        (pt 1848 112)
        (pt 1848 96)
)
(connector
        (pt 1848 96)
        (pt 1872 96)
)
(connector
        (pt 1784 112)
        (pt 1784 96)
)
(connector
        (pt 1784 96)
        (pt 1808 96)
)
(connector
        (pt 1768 112)
        (pt 1768 56)
)
(connector
        (pt 1656 112)
        (pt 1656 96)
)
(connector
        (pt 1656 96)
        (pt 1680 96)
)
(connector
        (pt 1640 112)
        (pt 1640 56)
)
(connector
        (pt 1592 112)
        (pt 1592 96)
)
(connector
        (pt 1592 96)
        (pt 1616 96)
)
(connector
        (pt 1576 112)
        (pt 1576 56)
)
(connector
        (pt 1464 112)
        (pt 1464 96)
)
(connector
        (pt 1464 96)
        (pt 1488 96)
)
(connector
        (pt 1448 112)
        (pt 1448 56)
)
(connector
        (pt 1400 112)
        (pt 1400 96)
)
(connector
        (pt 1400 96)
        (pt 1424 96)
)
(connector
        (pt 1384 112)
        (pt 1384 56)
)
(connector
        (pt 1272 112)
        (pt 1272 96)
)
(connector
        (pt 1272 96)
        (pt 1296 96)
)
(connector
        (pt 1256 112)
        (pt 1256 56)
)
(connector
        (pt 1784 592)
        (pt 1736 592)
)
(connector
        (pt 1736 592)
        (pt 1736 312)
)
(connector
        (pt 1736 312)
        (pt 1696 312)
)
(connector
        (pt 1544 592)
        (pt 1544 312)
)
(connector
        (pt 1544 312)
        (pt 1504 312)
)
(connector
        (pt 1544 592)
        (pt 1592 592)
)
(connector
        (pt 1400 592)
        (pt 1344 592)
)
(connector
        (pt 1344 592)
        (pt 1344 488)
)
(connector
        (pt 1344 488)
        (pt 1312 488)
)
(connector
        (pt 1504 312)
        (pt 1504 328)
)
(connector
        (pt 1696 312)
        (pt 1696 328)
)
(connector
        (pt 1888 296)
        (pt 1888 328)
)
(connector
        (pt 1312 488)
        (pt 1312 504)
)
(connector
        (pt 1264 176)
        (pt 1264 184)
)
(connector
        (pt 1128 328)
        (pt 1128 320)
)
(connector
        (pt 1144 320)
        (pt 1144 312)
)
(connector
        (pt 1176 240)
        (pt 1152 240)
)
(connector
        (pt 1152 240)
        (pt 1152 248)
)
(connector
        (pt 1088 112)
        (pt 1088 96)
)
(connector
        (pt 1088 96)
        (pt 1112 96)
)
(connector
        (pt 1024 112)
        (pt 1024 96)
)
(connector
        (pt 1024 96)
        (pt 1048 96)
)
(connector
        (pt 896 112)
        (pt 896 96)
)
(connector
        (pt 896 96)
        (pt 920 96)
)
(connector
        (pt 832 112)
        (pt 832 96)
)
(connector
        (pt 832 96)
        (pt 856 96)
)
(connector
        (pt 1072 112)
        (pt 1072 56)
)
(connector
        (pt 1008 112)
        (pt 1008 56)
)
(connector
        (pt 880 112)
        (pt 880 56)
)
(connector
        (pt 816 112)
        (pt 816 56)
)
(connector
        (pt 1024 592)
        (pt 976 592)
)
(connector
        (pt 976 592)
        (pt 976 312)
)
(connector
        (pt 976 312)
        (pt 936 312)
)
(connector
        (pt 936 312)
        (pt 936 328)
)
(connector
        (pt 776 488)
        (pt 744 488)
)
(connector
        (pt 744 488)
        (pt 744 504)
)
(connector
        (pt 832 592)
        (pt 776 592)
)
(connector
        (pt 776 488)
        (pt 776 592)
)
(connector
        (pt 704 112)
        (pt 704 96)
)
(connector
        (pt 704 96)
        (pt 728 96)
)
(connector
        (pt 688 112)
        (pt 688 56)
)
(connector
        (pt 696 184)
        (pt 696 176)
)
(connector
        (pt 1096 320)
        (pt 1096 264)
)
(connector
        (pt 608 224)
        (pt 600 224)
)
(connector
        (pt 560 112)
        (pt 560 96)
)
(connector
        (pt 560 96)
        (pt 584 96)
)
(connector
        (pt 496 112)
        (pt 496 96)
)
(connector
        (pt 496 96)
        (pt 520 96)
)
(connector
        (pt 544 112)
        (pt 544 56)
)
(connector
        (pt 480 112)
        (pt 480 56)
)
(connector
        (pt 440 488)
        (pt 408 488)
)
(connector
        (pt 408 488)
        (pt 408 504)
)
(connector
        (pt 440 488)
        (pt 440 592)
)
(connector
        (pt 496 592)
        (pt 440 592)
)
(connector
        (pt 1832 112)
        (pt 1832 56)
)
(connector
        (pt 1136 168)
        (pt 1136 32)
)
(connector
        (pt 368 112)
        (pt 368 96)
)
(connector
        (pt 368 96)
        (pt 392 96)
)
(connector
        (pt 352 112)
        (pt 352 56)
)
(connector
        (pt 360 184)
        (pt 360 176)
)
(connector
        (pt 272 208)
        (pt 256 208)
)
(connector
        (pt 256 208)
        (pt 256 504)
)
(connector
        (text "d[15]" (rect 224 467 236 491)(font "Arial" )(vertical))
        (pt 240 504)
        (pt 240 80)
)
(connector
        (pt 1240 264)
        (pt 1776 264)
)
(connector
        (pt 1240 280)
        (pt 1840 280)
)
(connector
        (pt 1240 248)
        (pt 1648 248)
)
(connector
        (pt 1240 200)
        (pt 1392 200)
)
(connector
        (pt 1240 216)
        (pt 1456 216)
)
(connector
        (pt 1240 184)
        (pt 1264 184)
)
(connector
        (pt 1240 232)
        (pt 1584 232)
)
(connector
        (pt 672 232)
        (pt 1016 232)
)
(connector
        (pt 672 264)
        (pt 1096 264)
)
(connector
        (pt 672 248)
        (pt 1080 248)
)
(connector
        (pt 672 216)
        (pt 888 216)
)
(connector
        (pt 672 200)
        (pt 824 200)
)
(connector
        (pt 672 184)
        (pt 696 184)
)
(connector
        (pt 336 232)
        (pt 600 232)
)
(connector
        (pt 336 216)
        (pt 552 216)
)
(connector
        (pt 336 200)
        (pt 488 200)
)
(connector
        (pt 336 184)
        (pt 360 184)
)
(connector
        (pt 192 32)
        (pt 1136 32)
)
(connector
        (text "address[6]" (rect 1288 576 1300 627)(font "Arial" )(vertical))
        (pt 1304 568)
        (pt 1304 632)
)
(connector
        (pt 1136 216)
        (pt 1136 248)
)
(connector
        (text "address[11]" (rect 720 571 732 628)(font "Arial" )(vertical))
        (pt 736 568)
        (pt 736 632)
)
(connector
        (text "address[14]" (rect 384 571 396 628)(font "Arial" )(vertical))
        (pt 400 568)
        (pt 400 632)
)
(connector
        (text "address[15]" (rect 232 571 244 628)(font "Arial" )(vertical))
        (pt 248 568)
        (pt 248 632)
)
(connector
        (pt 1784 576)
        (pt 1784 592)
)
(connector
        (text "address[1]" (rect 1816 576 1828 627)(font "Arial" )(vertical))
        (pt 1832 576)
        (pt 1832 632)
)
(connector
        (text "address[0]" (rect 1840 576 1852 627)(font "Arial" )(vertical))
        (pt 1856 576)
        (pt 1856 632)
)
(connector
        (pt 1592 576)
        (pt 1592 592)
)
(connector
        (text "address[3]" (rect 1624 576 1636 627)(font "Arial" )(vertical))
        (pt 1640 576)
        (pt 1640 632)
)
(connector
        (text "address[2]" (rect 1648 576 1660 627)(font "Arial" )(vertical))
        (pt 1664 576)
        (pt 1664 632)
)
(connector
        (pt 1400 576)
        (pt 1400 592)
)
(connector
        (text "address[5]" (rect 1432 576 1444 627)(font "Arial" )(vertical))
        (pt 1448 576)
        (pt 1448 632)
)
(connector
        (text "address[4]" (rect 1456 576 1468 627)(font "Arial" )(vertical))
        (pt 1472 576)
        (pt 1472 632)
)
(connector
        (pt 1024 576)
        (pt 1024 592)
)
(connector
        (text "address[8]" (rect 1056 576 1068 627)(font "Arial" )(vertical))
        (pt 1072 576)
        (pt 1072 632)
)
(connector
        (text "address[7]" (rect 1080 576 1092 627)(font "Arial" )(vertical))
        (pt 1096 576)
        (pt 1096 632)
)
(connector
        (pt 832 576)
        (pt 832 592)
)
(connector
        (text "address[10]" (rect 864 571 876 628)(font "Arial" )(vertical))
        (pt 880 576)
        (pt 880 632)
)
(connector
        (text "address[9]" (rect 888 576 900 627)(font "Arial" )(vertical))
        (pt 904 576)
        (pt 904 632)
)
(connector
        (pt 496 576)
        (pt 496 592)
)
(connector
        (text "address[13]" (rect 528 571 540 628)(font "Arial" )(vertical))
        (pt 544 576)
        (pt 544 632)
)
(connector
        (text "address[12]" (rect 552 571 564 628)(font "Arial" )(vertical))
        (pt 568 576)
        (pt 568 632)
)
(connector
        (pt 1424 80)
        (pt 1424 96)
)
(connector
        (text "d[5]" (rect 1408 296 1420 314)(font "Arial" )(vertical))
        (pt 1424 96)
        (pt 1424 328)
)
(connector
        (pt 1488 80)
        (pt 1488 96)
)
(connector
        (text "d[4]" (rect 1472 296 1484 314)(font "Arial" )(vertical))
        (pt 1488 96)
        (pt 1488 328)
)
(connector
        (pt 1616 80)
        (pt 1616 96)
)
(connector
        (text "d[3]" (rect 1600 296 1612 314)(font "Arial" )(vertical))
        (pt 1616 96)
        (pt 1616 328)
)
(connector
        (pt 1680 80)
        (pt 1680 96)
)
(connector
        (text "d[2]" (rect 1664 296 1676 314)(font "Arial" )(vertical))
        (pt 1680 96)
        (pt 1680 328)
)
(connector
        (pt 1808 80)
        (pt 1808 96)
)
(connector
        (text "d[1]" (rect 1792 296 1804 314)(font "Arial" )(vertical))
        (pt 1808 96)
        (pt 1808 328)
)
(connector
        (pt 1048 80)
        (pt 1048 96)
)
(connector
        (text "d[8]" (rect 1032 296 1044 314)(font "Arial" )(vertical))
        (pt 1048 96)
        (pt 1048 328)
)
(connector
        (pt 856 80)
        (pt 856 96)
)
(connector
        (text "d[10]" (rect 840 291 852 315)(font "Arial" )(vertical))
        (pt 856 96)
        (pt 856 328)
)
(connector
        (pt 1296 80)
        (pt 1296 96)
)
(connector
        (text "d[6]" (rect 1280 472 1292 490)(font "Arial" )(vertical))
        (pt 1296 96)
        (pt 1296 504)
)
(connector
        (pt 1112 80)
        (pt 1112 96)
)
(connector
        (text "d[7]" (rect 1096 296 1108 314)(font "Arial" )(vertical))
        (pt 1112 96)
        (pt 1112 328)
)
(connector
        (pt 920 80)
        (pt 920 96)
)
(connector
        (text "d[9]" (rect 904 296 916 314)(font "Arial" )(vertical))
        (pt 920 96)
        (pt 920 328)
)
(connector
        (pt 1096 320)
        (pt 1128 320)
)
(connector
        (pt 1128 320)
        (pt 1144 320)
)
(connector
        (pt 728 80)
        (pt 728 96)
)
(connector
        (text "d[11]" (rect 712 467 724 491)(font "Arial" )(vertical))
        (pt 728 96)
        (pt 728 504)
)
(connector
        (pt 1872 80)
        (pt 1872 96)
)
(connector
        (text "d[0]" (rect 1856 296 1868 314)(font "Arial" )(vertical))
        (pt 1872 96)
        (pt 1872 328)
)
(connector
        (pt 392 80)
        (pt 392 96)
)
(connector
        (text "d[14]" (rect 376 467 388 491)(font "Arial" )(vertical))
        (pt 392 96)
        (pt 392 504)
)
(connector
        (pt 584 80)
        (pt 584 96)
)
(connector
        (text "d[12]" (rect 568 291 580 315)(font "Arial" )(vertical))
        (pt 584 96)
        (pt 584 328)
)
(connector
        (pt 520 80)
        (pt 520 96)
)
(connector
        (text "d[13]" (rect 504 291 516 315)(font "Arial" )(vertical))
        (pt 520 96)
        (pt 520 328)
)
(connector
        (pt 1240 296)
        (pt 1888 296)
)
(connector
        (pt 1888 296)
        (pt 1928 296)
)
(connector
        (pt 600 224)
        (pt 600 232)
)
(connector
        (pt 600 232)
        (pt 600 328)
)
(connector
        (pt 192 56)
        (pt 352 56)
)
(connector
        (pt 352 56)
        (pt 480 56)
)
(connector
        (pt 480 56)
        (pt 544 56)
)
(connector
        (pt 544 56)
        (pt 688 56)
)
(connector
        (pt 688 56)
        (pt 816 56)
)
(connector
        (pt 816 56)
        (pt 880 56)
)
(connector
        (pt 880 56)
        (pt 1008 56)
)
(connector
        (pt 1008 56)
        (pt 1072 56)
)
(connector
        (pt 1072 56)
        (pt 1256 56)
)
(connector
        (pt 1256 56)
        (pt 1384 56)
)
(connector
        (pt 1384 56)
        (pt 1448 56)
)
(connector
        (pt 1448 56)
        (pt 1576 56)
)
(connector
        (pt 1576 56)
        (pt 1640 56)
)
(connector
        (pt 1640 56)
        (pt 1768 56)
)
(connector
        (pt 1768 56)
        (pt 1832 56)
)
(connector
        (pt 192 80)
        (pt 240 80)
        (bus)
)
(connector
        (pt 240 80)
        (pt 392 80)
        (bus)
)
(connector
        (pt 392 80)
        (pt 520 80)
        (bus)
)
(connector
        (pt 520 80)
        (pt 584 80)
        (bus)
)
(connector
        (pt 584 80)
        (pt 728 80)
        (bus)
)
(connector
        (pt 728 80)
        (pt 856 80)
        (bus)
)
(connector
        (pt 856 80)
        (pt 920 80)
        (bus)
)
(connector
        (pt 920 80)
        (pt 1048 80)
        (bus)
)
(connector
        (pt 1048 80)
        (pt 1112 80)
        (bus)
)
(connector
        (pt 1112 80)
        (pt 1296 80)
        (bus)
)
(connector
        (pt 1296 80)
        (pt 1424 80)
        (bus)
)
(connector
        (pt 1424 80)
        (pt 1488 80)
        (bus)
)
(connector
        (pt 1488 80)
        (pt 1616 80)
        (bus)
)
(connector
        (pt 1616 80)
        (pt 1680 80)
        (bus)
)
(connector
        (pt 1680 80)
        (pt 1808 80)
        (bus)
)
(connector
        (pt 1808 80)
        (pt 1872 80)
        (bus)
)
(connector
        (pt 1840 176)
        (pt 1840 280)
)
(connector
        (pt 1840 280)
        (pt 1840 328)
)
(connector
        (pt 1776 176)
        (pt 1776 264)
)
(connector
        (pt 1776 264)
        (pt 1776 328)
)
(connector
        (pt 1648 176)
        (pt 1648 248)
)
(connector
        (pt 1648 248)
        (pt 1648 328)
)
(connector
        (pt 1584 176)
        (pt 1584 232)
)
(connector
        (pt 1584 232)
        (pt 1584 328)
)
(connector
        (pt 1456 176)
        (pt 1456 216)
)
(connector
        (pt 1456 216)
        (pt 1456 328)
)
(connector
        (pt 1392 176)
        (pt 1392 200)
)
(connector
        (pt 1392 200)
        (pt 1392 328)
)
(connector
        (pt 824 176)
        (pt 824 200)
)
(connector
        (pt 824 200)
        (pt 824 328)
)
(connector
        (pt 888 176)
        (pt 888 216)
)
(connector
        (pt 888 216)
        (pt 888 328)
)
(connector
        (pt 1016 176)
        (pt 1016 232)
)
(connector
        (pt 1016 232)
        (pt 1016 328)
)
(connector
        (pt 1080 176)
        (pt 1080 248)
)
(connector
        (pt 1080 248)
        (pt 1080 328)
)
(connector
        (pt 552 176)
        (pt 552 216)
)
(connector
        (pt 552 216)
        (pt 552 328)
)
(connector
        (pt 488 176)
        (pt 488 200)
)
(connector
        (pt 488 200)
        (pt 488 328)
)
(connector
        (pt 248 632)
        (pt 400 632)
        (bus)
)
(connector
        (pt 400 632)
        (pt 544 632)
        (bus)
)
(connector
        (pt 544 632)
        (pt 568 632)
        (bus)
)
(connector
        (pt 568 632)
        (pt 736 632)
        (bus)
)
(connector
        (pt 736 632)
        (pt 880 632)
        (bus)
)
(connector
        (pt 880 632)
        (pt 904 632)
        (bus)
)
(connector
        (pt 904 632)
        (pt 1072 632)
        (bus)
)
(connector
        (pt 1072 632)
        (pt 1096 632)
        (bus)
)
(connector
        (pt 1096 632)
        (pt 1304 632)
        (bus)
)
(connector
        (pt 1304 632)
        (pt 1448 632)
        (bus)
)
(connector
        (pt 1448 632)
        (pt 1472 632)
        (bus)
)
(connector
        (pt 1472 632)
        (pt 1640 632)
        (bus)
)
(connector
        (pt 1640 632)
        (pt 1664 632)
        (bus)
)
(connector
        (pt 1664 632)
        (pt 1832 632)
        (bus)
)
(connector
        (pt 1832 632)
        (pt 1856 632)
        (bus)
)
(connector
        (pt 1856 632)
        (pt 1928 632)
        (bus)
)
(junction (pt 1424 96))
(junction (pt 1488 96))
(junction (pt 1616 96))
(junction (pt 1680 96))
(junction (pt 1808 96))
(junction (pt 1048 96))
(junction (pt 856 96))
(junction (pt 1296 96))
(junction (pt 1112 96))
(junction (pt 920 96))
(junction (pt 1128 320))
(junction (pt 728 96))
(junction (pt 1872 96))
(junction (pt 392 96))
(junction (pt 584 96))
(junction (pt 520 96))
(junction (pt 1888 296))
(junction (pt 600 232))
(junction (pt 352 56))
(junction (pt 480 56))
(junction (pt 544 56))
(junction (pt 688 56))
(junction (pt 816 56))
(junction (pt 880 56))
(junction (pt 1008 56))
(junction (pt 1072 56))
(junction (pt 1256 56))
(junction (pt 1384 56))
(junction (pt 1448 56))
(junction (pt 1576 56))
(junction (pt 1640 56))
(junction (pt 1768 56))
(junction (pt 240 80))
(junction (pt 392 80))
(junction (pt 520 80))
(junction (pt 584 80))
(junction (pt 728 80))
(junction (pt 856 80))
(junction (pt 920 80))
(junction (pt 1048 80))
(junction (pt 1112 80))
(junction (pt 1296 80))
(junction (pt 1424 80))
(junction (pt 1488 80))
(junction (pt 1616 80))
(junction (pt 1680 80))
(junction (pt 1808 80))
(junction (pt 1840 280))
(junction (pt 1776 264))
(junction (pt 1648 248))
(junction (pt 1584 232))
(junction (pt 1456 216))
(junction (pt 1392 200))
(junction (pt 824 200))
(junction (pt 888 216))
(junction (pt 1016 232))
(junction (pt 1080 248))
(junction (pt 552 216))
(junction (pt 488 200))
(junction (pt 400 632))
(junction (pt 544 632))
(junction (pt 568 632))
(junction (pt 736 632))
(junction (pt 880 632))
(junction (pt 904 632))
(junction (pt 1072 632))
(junction (pt 1096 632))
(junction (pt 1856 632))
(junction (pt 1304 632))
(junction (pt 1448 632))
(junction (pt 1472 632))
(junction (pt 1640 632))
(junction (pt 1664 632))
(junction (pt 1832 632))
(text "Fast increment / decrement circuit with carry-skip and carry-lookahead" (rect 664 664 1351 686)(font "Arial" (font_size 14)))
(title_block
        (rect 24 656 345 717)
        (name "title-custom-medium")
        (section (rect 0 41 240 60)(text "DATE" (rect 2 0 30 12)(font "Arial" ))(text "May 3, 2014" (rect 56 3 140 19)(font "Arial" (font_size 10)))(border))
        (section (rect 0 21 320 40)(text "DESIGNER" (rect 2 0 59 12)(font "Arial" ))(text "Goran Devic" (rect 56 2 151 19)(font "Arial" (font_size 11)))(border))
        (section (rect 130 0 320 20)(text "MODULE" (rect 2 1 48 13)(font "Arial" ))(text "inc_dec" (rect 43 2 113 21)(font "Arial" (font_size 12)(bold)))(border))
        (section (rect 0 0 320 20)(text "PROJECT" (rect 2 0 52 12)(font "Arial" ))(text "A-Z80" (rect 56 2 106 21)(font "Arial" (font_size 12)(bold)))(border))
        (section (rect 241 41 320 60)(text "REV" (rect 2 1 25 13)(font "Arial" ))(text "1.0" (rect 43 3 64 19)(font "Arial" (font_size 10)))(border))
        (drawing
        )
)

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.