OpenCores
URL https://opencores.org/ocsvn/a-z80/a-z80/trunk

Subversion Repositories a-z80

[/] [a-z80/] [trunk/] [cpu/] [registers/] [reg_file.bdf] - Rev 3

Go to most recent revision | Compare with Previous | Blame | View Log

/*
WARNING: Do NOT edit the input and output ports in this file in a text
editor if you plan to continue editing the block that represents it in
the Block Editor! File corruption is VERY likely to occur.
*/
/*
Copyright (C) 1991-2013 Altera Corporation
Your use of Altera Corporation's design tools, logic functions 
and other software and tools, and its AMPP partner logic 
functions, and any output files from any of the foregoing 
(including device programming or simulation files), and any 
associated documentation or information are expressly subject 
to the terms and conditions of the Altera Program License 
Subscription Agreement, Altera MegaCore Function License 
Agreement, or other applicable license agreement, including, 
without limitation, that your use is for the sole purpose of 
programming logic devices manufactured by Altera and sold by 
Altera or its authorized distributors.  Please refer to the 
applicable agreement for further details.
*/
(header "graphic" (version "1.4"))
(pin
        (input)
        (rect 32 256 208 272)
        (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6)))
        (text "reg_sel_sys_lo" (rect 9 0 83 12)(font "Arial" ))
        (pt 176 8)
        (drawing
                (line (pt 92 12)(pt 117 12))
                (line (pt 92 4)(pt 117 4))
                (line (pt 121 8)(pt 176 8))
                (line (pt 92 12)(pt 92 4))
                (line (pt 117 4)(pt 121 8))
                (line (pt 117 12)(pt 121 8))
        )
        (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6)))
)
(pin
        (input)
        (rect 2672 256 2848 272)
        (text "INPUT" (rect 15 6 43 16)(font "Arial" (font_size 6)))
        (text "reg_sel_gp_lo" (rect 100 4 167 16)(font "Arial" ))
        (pt 0 8)
        (drawing
                (line (pt 84 4)(pt 59 4))
                (line (pt 84 12)(pt 59 12))
                (line (pt 55 8)(pt 0 8))
                (line (pt 84 4)(pt 84 12))
                (line (pt 59 12)(pt 55 8))
                (line (pt 59 4)(pt 55 8))
        )
        (rotate180)
        (text "VCC" (rect 20 -1 40 9)(font "Arial" (font_size 6)))
)
(pin
        (input)
        (rect 32 848 208 864)
        (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6)))
        (text "reg_sel_sys_hi" (rect 9 0 83 12)(font "Arial" ))
        (pt 176 8)
        (drawing
                (line (pt 92 12)(pt 117 12))
                (line (pt 92 4)(pt 117 4))
                (line (pt 121 8)(pt 176 8))
                (line (pt 92 12)(pt 92 4))
                (line (pt 117 4)(pt 121 8))
                (line (pt 117 12)(pt 121 8))
        )
        (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6)))
)
(pin
        (input)
        (rect 2672 848 2848 864)
        (text "INPUT" (rect 15 0 43 10)(font "Arial" (font_size 6)))
        (text "reg_sel_gp_hi" (rect 100 0 167 12)(font "Arial" ))
        (pt 0 8)
        (drawing
                (line (pt 84 12)(pt 59 12))
                (line (pt 84 4)(pt 59 4))
                (line (pt 55 8)(pt 0 8))
                (line (pt 84 12)(pt 84 4))
                (line (pt 59 4)(pt 55 8))
                (line (pt 59 12)(pt 55 8))
        )
        (flipy)
        (text "VCC" (rect 20 7 40 17)(font "Arial" (font_size 6)))
)
(pin
        (input)
        (rect 312 32 328 208)
        (text "INPUT" (rect 0 133 10 161)(font "Arial" (font_size 6))(vertical))
        (text "reg_sel_ir" (rect 0 9 12 56)(font "Arial" )(vertical))
        (pt 8 176)
        (drawing
                (line (pt 12 92)(pt 12 117))
                (line (pt 4 92)(pt 4 117))
                (line (pt 8 121)(pt 8 176))
                (line (pt 12 92)(pt 4 92))
                (line (pt 4 117)(pt 8 121))
                (line (pt 12 117)(pt 8 121))
        )
        (flipy_rotate90)
        (text "VCC" (rect 7 136 17 156)(font "Arial" (font_size 6))(vertical))
)
(pin
        (input)
        (rect 456 32 472 208)
        (text "INPUT" (rect 0 133 10 161)(font "Arial" (font_size 6))(vertical))
        (text "reg_sel_pc" (rect 0 9 12 62)(font "Arial" )(vertical))
        (pt 8 176)
        (drawing
                (line (pt 12 92)(pt 12 117))
                (line (pt 4 92)(pt 4 117))
                (line (pt 8 121)(pt 8 176))
                (line (pt 12 92)(pt 4 92))
                (line (pt 4 117)(pt 8 121))
                (line (pt 12 117)(pt 8 121))
        )
        (flipy_rotate90)
        (text "VCC" (rect 7 136 17 156)(font "Arial" (font_size 6))(vertical))
)
(pin
        (input)
        (rect 600 32 616 208)
        (text "INPUT" (rect 0 133 10 161)(font "Arial" (font_size 6))(vertical))
        (text "ctl_sw_4d" (rect 0 9 12 57)(font "Arial" )(vertical))
        (pt 8 176)
        (drawing
                (line (pt 12 92)(pt 12 117))
                (line (pt 4 92)(pt 4 117))
                (line (pt 8 121)(pt 8 176))
                (line (pt 12 92)(pt 4 92))
                (line (pt 4 117)(pt 8 121))
                (line (pt 12 117)(pt 8 121))
        )
        (flipy_rotate90)
        (text "VCC" (rect 7 136 17 156)(font "Arial" (font_size 6))(vertical))
)
(pin
        (input)
        (rect 648 32 664 208)
        (text "INPUT" (rect 0 133 10 161)(font "Arial" (font_size 6))(vertical))
        (text "ctl_sw_4u" (rect 0 9 12 57)(font "Arial" )(vertical))
        (pt 8 176)
        (drawing
                (line (pt 12 92)(pt 12 117))
                (line (pt 4 92)(pt 4 117))
                (line (pt 8 121)(pt 8 176))
                (line (pt 12 92)(pt 4 92))
                (line (pt 4 117)(pt 8 121))
                (line (pt 12 117)(pt 8 121))
        )
        (flipy_rotate90)
        (text "VCC" (rect 7 136 17 156)(font "Arial" (font_size 6))(vertical))
)
(pin
        (input)
        (rect 752 32 768 208)
        (text "INPUT" (rect 0 133 10 161)(font "Arial" (font_size 6))(vertical))
        (text "reg_sel_wz" (rect 0 9 12 62)(font "Arial" )(vertical))
        (pt 8 176)
        (drawing
                (line (pt 12 92)(pt 12 117))
                (line (pt 4 92)(pt 4 117))
                (line (pt 8 121)(pt 8 176))
                (line (pt 12 92)(pt 4 92))
                (line (pt 4 117)(pt 8 121))
                (line (pt 12 117)(pt 8 121))
        )
        (flipy_rotate90)
        (text "VCC" (rect 7 136 17 156)(font "Arial" (font_size 6))(vertical))
)
(pin
        (input)
        (rect 896 32 912 208)
        (text "INPUT" (rect 0 133 10 161)(font "Arial" (font_size 6))(vertical))
        (text "reg_sel_sp" (rect 0 9 12 62)(font "Arial" )(vertical))
        (pt 8 176)
        (drawing
                (line (pt 12 92)(pt 12 117))
                (line (pt 4 92)(pt 4 117))
                (line (pt 8 121)(pt 8 176))
                (line (pt 12 92)(pt 4 92))
                (line (pt 4 117)(pt 8 121))
                (line (pt 12 117)(pt 8 121))
        )
        (flipy_rotate90)
        (text "VCC" (rect 7 136 17 156)(font "Arial" (font_size 6))(vertical))
)
(pin
        (input)
        (rect 1056 32 1072 208)
        (text "INPUT" (rect 0 133 10 161)(font "Arial" (font_size 6))(vertical))
        (text "reg_sel_iy" (rect 0 9 12 59)(font "Arial" )(vertical))
        (pt 8 176)
        (drawing
                (line (pt 12 92)(pt 12 117))
                (line (pt 4 92)(pt 4 117))
                (line (pt 8 121)(pt 8 176))
                (line (pt 12 92)(pt 4 92))
                (line (pt 4 117)(pt 8 121))
                (line (pt 12 117)(pt 8 121))
        )
        (flipy_rotate90)
        (text "VCC" (rect 7 136 17 156)(font "Arial" (font_size 6))(vertical))
)
(pin
        (input)
        (rect 1200 32 1216 208)
        (text "INPUT" (rect 0 133 10 161)(font "Arial" (font_size 6))(vertical))
        (text "reg_sel_ix" (rect 0 9 12 58)(font "Arial" )(vertical))
        (pt 8 176)
        (drawing
                (line (pt 12 92)(pt 12 117))
                (line (pt 4 92)(pt 4 117))
                (line (pt 8 121)(pt 8 176))
                (line (pt 12 92)(pt 4 92))
                (line (pt 4 117)(pt 8 121))
                (line (pt 12 117)(pt 8 121))
        )
        (flipy_rotate90)
        (text "VCC" (rect 7 136 17 156)(font "Arial" (font_size 6))(vertical))
)
(pin
        (input)
        (rect 1344 32 1360 208)
        (text "INPUT" (rect 0 133 10 161)(font "Arial" (font_size 6))(vertical))
        (text "reg_sel_hl2" (rect 0 9 12 64)(font "Arial" )(vertical))
        (pt 8 176)
        (drawing
                (line (pt 12 92)(pt 12 117))
                (line (pt 4 92)(pt 4 117))
                (line (pt 8 121)(pt 8 176))
                (line (pt 12 92)(pt 4 92))
                (line (pt 4 117)(pt 8 121))
                (line (pt 12 117)(pt 8 121))
        )
        (flipy_rotate90)
        (text "VCC" (rect 7 136 17 156)(font "Arial" (font_size 6))(vertical))
)
(pin
        (input)
        (rect 1488 32 1504 208)
        (text "INPUT" (rect 0 133 10 161)(font "Arial" (font_size 6))(vertical))
        (text "reg_sel_hl" (rect 0 9 12 58)(font "Arial" )(vertical))
        (pt 8 176)
        (drawing
                (line (pt 12 92)(pt 12 117))
                (line (pt 4 92)(pt 4 117))
                (line (pt 8 121)(pt 8 176))
                (line (pt 12 92)(pt 4 92))
                (line (pt 4 117)(pt 8 121))
                (line (pt 12 117)(pt 8 121))
        )
        (flipy_rotate90)
        (text "VCC" (rect 7 136 17 156)(font "Arial" (font_size 6))(vertical))
)
(pin
        (input)
        (rect 1632 32 1648 208)
        (text "INPUT" (rect 0 133 10 161)(font "Arial" (font_size 6))(vertical))
        (text "reg_sel_de2" (rect 0 9 12 68)(font "Arial" )(vertical))
        (pt 8 176)
        (drawing
                (line (pt 12 92)(pt 12 117))
                (line (pt 4 92)(pt 4 117))
                (line (pt 8 121)(pt 8 176))
                (line (pt 12 92)(pt 4 92))
                (line (pt 4 117)(pt 8 121))
                (line (pt 12 117)(pt 8 121))
        )
        (flipy_rotate90)
        (text "VCC" (rect 7 136 17 156)(font "Arial" (font_size 6))(vertical))
)
(pin
        (input)
        (rect 1776 32 1792 208)
        (text "INPUT" (rect 0 133 10 161)(font "Arial" (font_size 6))(vertical))
        (text "reg_sel_de" (rect 0 9 12 62)(font "Arial" )(vertical))
        (pt 8 176)
        (drawing
                (line (pt 12 92)(pt 12 117))
                (line (pt 4 92)(pt 4 117))
                (line (pt 8 121)(pt 8 176))
                (line (pt 12 92)(pt 4 92))
                (line (pt 4 117)(pt 8 121))
                (line (pt 12 117)(pt 8 121))
        )
        (flipy_rotate90)
        (text "VCC" (rect 7 136 17 156)(font "Arial" (font_size 6))(vertical))
)
(pin
        (input)
        (rect 1920 32 1936 208)
        (text "INPUT" (rect 0 133 10 161)(font "Arial" (font_size 6))(vertical))
        (text "reg_sel_bc2" (rect 0 9 12 68)(font "Arial" )(vertical))
        (pt 8 176)
        (drawing
                (line (pt 12 92)(pt 12 117))
                (line (pt 4 92)(pt 4 117))
                (line (pt 8 121)(pt 8 176))
                (line (pt 12 92)(pt 4 92))
                (line (pt 4 117)(pt 8 121))
                (line (pt 12 117)(pt 8 121))
        )
        (flipy_rotate90)
        (text "VCC" (rect 7 136 17 156)(font "Arial" (font_size 6))(vertical))
)
(pin
        (input)
        (rect 2064 32 2080 208)
        (text "INPUT" (rect 0 133 10 161)(font "Arial" (font_size 6))(vertical))
        (text "reg_sel_bc" (rect 0 9 12 62)(font "Arial" )(vertical))
        (pt 8 176)
        (drawing
                (line (pt 12 92)(pt 12 117))
                (line (pt 4 92)(pt 4 117))
                (line (pt 8 121)(pt 8 176))
                (line (pt 12 92)(pt 4 92))
                (line (pt 4 117)(pt 8 121))
                (line (pt 12 117)(pt 8 121))
        )
        (flipy_rotate90)
        (text "VCC" (rect 7 136 17 156)(font "Arial" (font_size 6))(vertical))
)
(pin
        (input)
        (rect 2208 32 2224 208)
        (text "INPUT" (rect 0 133 10 161)(font "Arial" (font_size 6))(vertical))
        (text "reg_sel_af2" (rect 0 9 12 66)(font "Arial" )(vertical))
        (pt 8 176)
        (drawing
                (line (pt 12 92)(pt 12 117))
                (line (pt 4 92)(pt 4 117))
                (line (pt 8 121)(pt 8 176))
                (line (pt 12 92)(pt 4 92))
                (line (pt 4 117)(pt 8 121))
                (line (pt 12 117)(pt 8 121))
        )
        (flipy_rotate90)
        (text "VCC" (rect 7 136 17 156)(font "Arial" (font_size 6))(vertical))
)
(pin
        (input)
        (rect 2352 32 2368 208)
        (text "INPUT" (rect 0 133 10 161)(font "Arial" (font_size 6))(vertical))
        (text "reg_sel_af" (rect 0 9 12 60)(font "Arial" )(vertical))
        (pt 8 176)
        (drawing
                (line (pt 12 92)(pt 12 117))
                (line (pt 4 92)(pt 4 117))
                (line (pt 8 121)(pt 8 176))
                (line (pt 12 92)(pt 4 92))
                (line (pt 4 117)(pt 8 121))
                (line (pt 12 117)(pt 8 121))
        )
        (flipy_rotate90)
        (text "VCC" (rect 7 136 17 156)(font "Arial" (font_size 6))(vertical))
)
(pin
        (input)
        (rect 2672 224 2848 240)
        (text "INPUT" (rect 15 6 43 16)(font "Arial" (font_size 6)))
        (text "reg_gp_we" (rect 116 4 167 16)(font "Arial" ))
        (pt 0 8)
        (drawing
                (line (pt 84 4)(pt 59 4))
                (line (pt 84 12)(pt 59 12))
                (line (pt 55 8)(pt 0 8))
                (line (pt 84 4)(pt 84 12))
                (line (pt 59 12)(pt 55 8))
                (line (pt 59 4)(pt 55 8))
        )
        (rotate180)
        (text "VCC" (rect 20 -1 40 9)(font "Arial" (font_size 6)))
)
(pin
        (input)
        (rect 32 224 208 240)
        (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6)))
        (text "reg_sys_we_lo" (rect 9 0 82 12)(font "Arial" ))
        (pt 176 8)
        (drawing
                (line (pt 92 12)(pt 117 12))
                (line (pt 92 4)(pt 117 4))
                (line (pt 121 8)(pt 176 8))
                (line (pt 92 12)(pt 92 4))
                (line (pt 117 4)(pt 121 8))
                (line (pt 117 12)(pt 121 8))
        )
        (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6)))
)
(pin
        (input)
        (rect 32 832 208 848)
        (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6)))
        (text "reg_sys_we_hi" (rect 9 0 82 12)(font "Arial" ))
        (pt 176 8)
        (drawing
                (line (pt 92 12)(pt 117 12))
                (line (pt 92 4)(pt 117 4))
                (line (pt 121 8)(pt 176 8))
                (line (pt 92 12)(pt 92 4))
                (line (pt 117 4)(pt 121 8))
                (line (pt 117 12)(pt 121 8))
        )
        (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6)))
)
(pin
        (input)
        (rect 2520 32 2536 208)
        (text "INPUT" (rect 0 133 10 161)(font "Arial" (font_size 6))(vertical))
        (text "ctl_reg_in_hi" (rect 0 9 12 70)(font "Arial" )(vertical))
        (pt 8 176)
        (drawing
                (line (pt 12 92)(pt 12 117))
                (line (pt 4 92)(pt 4 117))
                (line (pt 8 121)(pt 8 176))
                (line (pt 12 92)(pt 4 92))
                (line (pt 4 117)(pt 8 121))
                (line (pt 12 117)(pt 8 121))
        )
        (flipy_rotate90)
        (text "VCC" (rect 7 136 17 156)(font "Arial" (font_size 6))(vertical))
)
(pin
        (input)
        (rect 2536 32 2552 208)
        (text "INPUT" (rect 0 133 10 161)(font "Arial" (font_size 6))(vertical))
        (text "ctl_reg_in_lo" (rect 0 9 12 70)(font "Arial" )(vertical))
        (pt 8 176)
        (drawing
                (line (pt 12 92)(pt 12 117))
                (line (pt 4 92)(pt 4 117))
                (line (pt 8 121)(pt 8 176))
                (line (pt 12 92)(pt 4 92))
                (line (pt 4 117)(pt 8 121))
                (line (pt 12 117)(pt 8 121))
        )
        (flipy_rotate90)
        (text "VCC" (rect 7 136 17 156)(font "Arial" (font_size 6))(vertical))
)
(pin
        (input)
        (rect 2560 32 2576 208)
        (text "INPUT" (rect 0 133 10 161)(font "Arial" (font_size 6))(vertical))
        (text "ctl_reg_out_lo" (rect 0 9 12 77)(font "Arial" )(vertical))
        (pt 8 176)
        (drawing
                (line (pt 12 92)(pt 12 117))
                (line (pt 4 92)(pt 4 117))
                (line (pt 8 121)(pt 8 176))
                (line (pt 12 92)(pt 4 92))
                (line (pt 4 117)(pt 8 121))
                (line (pt 12 117)(pt 8 121))
        )
        (flipy_rotate90)
        (text "VCC" (rect 7 136 17 156)(font "Arial" (font_size 6))(vertical))
)
(pin
        (input)
        (rect 2576 32 2592 208)
        (text "INPUT" (rect 0 133 10 161)(font "Arial" (font_size 6))(vertical))
        (text "ctl_reg_out_hi" (rect 0 9 12 77)(font "Arial" )(vertical))
        (pt 8 176)
        (drawing
                (line (pt 12 92)(pt 12 117))
                (line (pt 4 92)(pt 4 117))
                (line (pt 8 121)(pt 8 176))
                (line (pt 12 92)(pt 4 92))
                (line (pt 4 117)(pt 8 121))
                (line (pt 12 117)(pt 8 121))
        )
        (flipy_rotate90)
        (text "VCC" (rect 7 136 17 156)(font "Arial" (font_size 6))(vertical))
)
(pin
        (input)
        (rect 32 528 208 544)
        (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6)))
        (text "clk" (rect 9 0 23 12)(font "Arial" ))
        (pt 176 8)
        (drawing
                (line (pt 92 12)(pt 117 12))
                (line (pt 92 4)(pt 117 4))
                (line (pt 121 8)(pt 176 8))
                (line (pt 92 12)(pt 92 4))
                (line (pt 117 4)(pt 121 8))
                (line (pt 117 12)(pt 121 8))
        )
        (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6)))
)
(pin
        (bidir)
        (rect 2672 352 2848 368)
        (text "BIDIR" (rect 1 0 25 10)(font "Arial" (font_size 6)))
        (text "db_lo_ds[7..0]" (rect 90 0 159 12)(font "Arial" ))
        (pt 0 8)
        (drawing
                (line (pt 56 4)(pt 78 4))
                (line (pt 0 8)(pt 52 8))
                (line (pt 56 12)(pt 78 12))
                (line (pt 78 4)(pt 82 8))
                (line (pt 78 12)(pt 82 8))
                (line (pt 56 4)(pt 52 8))
                (line (pt 52 8)(pt 56 12))
        )
        (text "VCC" (rect 4 7 24 17)(font "Arial" (font_size 6)))
)
(pin
        (bidir)
        (rect 2672 704 2848 720)
        (text "BIDIR" (rect 1 0 25 10)(font "Arial" (font_size 6)))
        (text "db_hi_ds[7..0]" (rect 90 0 159 12)(font "Arial" ))
        (pt 0 8)
        (drawing
                (line (pt 56 4)(pt 78 4))
                (line (pt 0 8)(pt 52 8))
                (line (pt 56 12)(pt 78 12))
                (line (pt 78 4)(pt 82 8))
                (line (pt 78 12)(pt 82 8))
                (line (pt 56 4)(pt 52 8))
                (line (pt 52 8)(pt 56 12))
        )
        (text "VCC" (rect 4 7 24 17)(font "Arial" (font_size 6)))
)
(pin
        (bidir)
        (rect 32 352 208 368)
        (text "BIDIR" (rect 151 0 175 10)(font "Arial" (font_size 6)))
        (text "db_lo_as[7..0]" (rect 17 0 86 12)(font "Arial" ))
        (pt 176 8)
        (drawing
                (line (pt 120 4)(pt 98 4))
                (line (pt 176 8)(pt 124 8))
                (line (pt 120 12)(pt 98 12))
                (line (pt 98 4)(pt 94 8))
                (line (pt 98 12)(pt 94 8))
                (line (pt 120 4)(pt 124 8))
                (line (pt 124 8)(pt 120 12))
        )
        (flipy)
        (text "VCC" (rect 152 7 172 17)(font "Arial" (font_size 6)))
)
(pin
        (bidir)
        (rect 32 704 208 720)
        (text "BIDIR" (rect 151 0 175 10)(font "Arial" (font_size 6)))
        (text "db_hi_as[7..0]" (rect 17 0 86 12)(font "Arial" ))
        (pt 176 8)
        (drawing
                (line (pt 120 4)(pt 98 4))
                (line (pt 176 8)(pt 124 8))
                (line (pt 120 12)(pt 98 12))
                (line (pt 98 4)(pt 94 8))
                (line (pt 98 12)(pt 94 8))
                (line (pt 120 4)(pt 124 8))
                (line (pt 124 8)(pt 120 12))
        )
        (flipy)
        (text "VCC" (rect 152 7 172 17)(font "Arial" (font_size 6)))
)
(symbol
        (rect 360 280 408 344)
        (text "AND3" (rect 38 1 48 25)(font "Arial" (font_size 6))(vertical))
        (text "inst7" (rect -1 3 11 26)(font "Arial" )(vertical))
        (port
                (pt 32 0)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 29 2 41 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 32 0)(pt 32 16))
        )
        (port
                (pt 24 0)
                (input)
                (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 21 2 33 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 0)(pt 24 16))
        )
        (port
                (pt 16 0)
                (input)
                (text "IN3" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN3" (rect 13 2 25 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 16 0)(pt 16 16))
        )
        (port
                (pt 24 64)
                (output)
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 21 48 33 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 43)(pt 24 64))
        )
        (drawing
                (line (pt 36 16)(pt 36 31))
                (line (pt 11 16)(pt 11 31))
                (line (pt 36 16)(pt 11 16))
                (arc (pt 12 31)(pt 36 31)(rect 11 19 36 44))
        )
        (rotate270)
)
(symbol
        (rect 360 728 408 792)
        (text "AND3" (rect 0 39 10 63)(font "Arial" (font_size 6))(vertical))
        (text "inst8" (rect 37 38 49 61)(font "Arial" )(vertical))
        (port
                (pt 16 64)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 7 45 19 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 16 64)(pt 16 48))
        )
        (port
                (pt 24 64)
                (input)
                (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 15 45 27 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 64)(pt 24 48))
        )
        (port
                (pt 32 64)
                (input)
                (text "IN3" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN3" (rect 23 45 35 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 32 64)(pt 32 48))
        )
        (port
                (pt 24 0)
                (output)
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 15 2 27 16)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 21)(pt 24 0))
        )
        (drawing
                (line (pt 12 48)(pt 12 33))
                (line (pt 37 48)(pt 37 33))
                (line (pt 12 48)(pt 37 48))
                (arc (pt 36 33)(pt 12 33)(rect 12 20 37 45))
        )
        (rotate90)
)
(symbol
        (rect 504 280 552 344)
        (text "AND3" (rect 38 1 48 25)(font "Arial" (font_size 6))(vertical))
        (text "inst12" (rect -1 3 11 32)(font "Arial" )(vertical))
        (port
                (pt 32 0)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 29 2 41 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 32 0)(pt 32 16))
        )
        (port
                (pt 24 0)
                (input)
                (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 21 2 33 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 0)(pt 24 16))
        )
        (port
                (pt 16 0)
                (input)
                (text "IN3" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN3" (rect 13 2 25 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 16 0)(pt 16 16))
        )
        (port
                (pt 24 64)
                (output)
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 21 48 33 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 43)(pt 24 64))
        )
        (drawing
                (line (pt 36 16)(pt 36 31))
                (line (pt 11 16)(pt 11 31))
                (line (pt 36 16)(pt 11 16))
                (arc (pt 12 31)(pt 36 31)(rect 11 19 36 44))
        )
        (rotate270)
)
(symbol
        (rect 504 728 552 792)
        (text "AND3" (rect 0 39 10 63)(font "Arial" (font_size 6))(vertical))
        (text "inst15" (rect 37 32 49 61)(font "Arial" )(vertical))
        (port
                (pt 16 64)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 7 45 19 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 16 64)(pt 16 48))
        )
        (port
                (pt 24 64)
                (input)
                (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 15 45 27 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 64)(pt 24 48))
        )
        (port
                (pt 32 64)
                (input)
                (text "IN3" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN3" (rect 23 45 35 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 32 64)(pt 32 48))
        )
        (port
                (pt 24 0)
                (output)
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 15 2 27 16)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 21)(pt 24 0))
        )
        (drawing
                (line (pt 12 48)(pt 12 33))
                (line (pt 37 48)(pt 37 33))
                (line (pt 12 48)(pt 37 48))
                (arc (pt 36 33)(pt 12 33)(rect 12 20 37 45))
        )
        (rotate90)
)
(symbol
        (rect 1104 280 1152 344)
        (text "AND3" (rect 38 1 48 25)(font "Arial" (font_size 6))(vertical))
        (text "inst20" (rect -1 3 11 32)(font "Arial" )(vertical))
        (port
                (pt 32 0)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 29 2 41 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 32 0)(pt 32 16))
        )
        (port
                (pt 24 0)
                (input)
                (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 21 2 33 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 0)(pt 24 16))
        )
        (port
                (pt 16 0)
                (input)
                (text "IN3" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN3" (rect 13 2 25 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 16 0)(pt 16 16))
        )
        (port
                (pt 24 64)
                (output)
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 21 48 33 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 43)(pt 24 64))
        )
        (drawing
                (line (pt 36 16)(pt 36 31))
                (line (pt 11 16)(pt 11 31))
                (line (pt 36 16)(pt 11 16))
                (arc (pt 12 31)(pt 36 31)(rect 11 19 36 44))
        )
        (rotate270)
)
(symbol
        (rect 1104 728 1152 792)
        (text "AND3" (rect 0 39 10 63)(font "Arial" (font_size 6))(vertical))
        (text "inst21" (rect 37 32 49 61)(font "Arial" )(vertical))
        (port
                (pt 16 64)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 7 45 19 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 16 64)(pt 16 48))
        )
        (port
                (pt 24 64)
                (input)
                (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 15 45 27 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 64)(pt 24 48))
        )
        (port
                (pt 32 64)
                (input)
                (text "IN3" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN3" (rect 23 45 35 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 32 64)(pt 32 48))
        )
        (port
                (pt 24 0)
                (output)
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 15 2 27 16)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 21)(pt 24 0))
        )
        (drawing
                (line (pt 12 48)(pt 12 33))
                (line (pt 37 48)(pt 37 33))
                (line (pt 12 48)(pt 37 48))
                (arc (pt 36 33)(pt 12 33)(rect 12 20 37 45))
        )
        (rotate90)
)
(symbol
        (rect 1248 280 1296 344)
        (text "AND3" (rect 38 1 48 25)(font "Arial" (font_size 6))(vertical))
        (text "inst26" (rect -1 3 11 32)(font "Arial" )(vertical))
        (port
                (pt 32 0)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 29 2 41 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 32 0)(pt 32 16))
        )
        (port
                (pt 24 0)
                (input)
                (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 21 2 33 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 0)(pt 24 16))
        )
        (port
                (pt 16 0)
                (input)
                (text "IN3" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN3" (rect 13 2 25 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 16 0)(pt 16 16))
        )
        (port
                (pt 24 64)
                (output)
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 21 48 33 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 43)(pt 24 64))
        )
        (drawing
                (line (pt 36 16)(pt 36 31))
                (line (pt 11 16)(pt 11 31))
                (line (pt 36 16)(pt 11 16))
                (arc (pt 12 31)(pt 36 31)(rect 11 19 36 44))
        )
        (rotate270)
)
(symbol
        (rect 1248 728 1296 792)
        (text "AND3" (rect 0 39 10 63)(font "Arial" (font_size 6))(vertical))
        (text "inst27" (rect 37 32 49 61)(font "Arial" )(vertical))
        (port
                (pt 16 64)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 7 45 19 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 16 64)(pt 16 48))
        )
        (port
                (pt 24 64)
                (input)
                (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 15 45 27 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 64)(pt 24 48))
        )
        (port
                (pt 32 64)
                (input)
                (text "IN3" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN3" (rect 23 45 35 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 32 64)(pt 32 48))
        )
        (port
                (pt 24 0)
                (output)
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 15 2 27 16)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 21)(pt 24 0))
        )
        (drawing
                (line (pt 12 48)(pt 12 33))
                (line (pt 37 48)(pt 37 33))
                (line (pt 12 48)(pt 37 48))
                (arc (pt 36 33)(pt 12 33)(rect 12 20 37 45))
        )
        (rotate90)
)
(symbol
        (rect 1392 280 1440 344)
        (text "AND3" (rect 38 1 48 25)(font "Arial" (font_size 6))(vertical))
        (text "inst32" (rect -1 3 11 32)(font "Arial" )(vertical))
        (port
                (pt 32 0)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 29 2 41 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 32 0)(pt 32 16))
        )
        (port
                (pt 24 0)
                (input)
                (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 21 2 33 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 0)(pt 24 16))
        )
        (port
                (pt 16 0)
                (input)
                (text "IN3" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN3" (rect 13 2 25 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 16 0)(pt 16 16))
        )
        (port
                (pt 24 64)
                (output)
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 21 48 33 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 43)(pt 24 64))
        )
        (drawing
                (line (pt 36 16)(pt 36 31))
                (line (pt 11 16)(pt 11 31))
                (line (pt 36 16)(pt 11 16))
                (arc (pt 12 31)(pt 36 31)(rect 11 19 36 44))
        )
        (rotate270)
)
(symbol
        (rect 1392 728 1440 792)
        (text "AND3" (rect 0 39 10 63)(font "Arial" (font_size 6))(vertical))
        (text "inst33" (rect 37 32 49 61)(font "Arial" )(vertical))
        (port
                (pt 16 64)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 7 45 19 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 16 64)(pt 16 48))
        )
        (port
                (pt 24 64)
                (input)
                (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 15 45 27 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 64)(pt 24 48))
        )
        (port
                (pt 32 64)
                (input)
                (text "IN3" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN3" (rect 23 45 35 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 32 64)(pt 32 48))
        )
        (port
                (pt 24 0)
                (output)
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 15 2 27 16)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 21)(pt 24 0))
        )
        (drawing
                (line (pt 12 48)(pt 12 33))
                (line (pt 37 48)(pt 37 33))
                (line (pt 12 48)(pt 37 48))
                (arc (pt 36 33)(pt 12 33)(rect 12 20 37 45))
        )
        (rotate90)
)
(symbol
        (rect 1536 280 1584 344)
        (text "AND3" (rect 38 1 48 25)(font "Arial" (font_size 6))(vertical))
        (text "inst38" (rect -1 3 11 32)(font "Arial" )(vertical))
        (port
                (pt 32 0)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 29 2 41 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 32 0)(pt 32 16))
        )
        (port
                (pt 24 0)
                (input)
                (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 21 2 33 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 0)(pt 24 16))
        )
        (port
                (pt 16 0)
                (input)
                (text "IN3" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN3" (rect 13 2 25 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 16 0)(pt 16 16))
        )
        (port
                (pt 24 64)
                (output)
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 21 48 33 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 43)(pt 24 64))
        )
        (drawing
                (line (pt 36 16)(pt 36 31))
                (line (pt 11 16)(pt 11 31))
                (line (pt 36 16)(pt 11 16))
                (arc (pt 12 31)(pt 36 31)(rect 11 19 36 44))
        )
        (rotate270)
)
(symbol
        (rect 1536 728 1584 792)
        (text "AND3" (rect 0 39 10 63)(font "Arial" (font_size 6))(vertical))
        (text "inst39" (rect 37 32 49 61)(font "Arial" )(vertical))
        (port
                (pt 16 64)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 7 45 19 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 16 64)(pt 16 48))
        )
        (port
                (pt 24 64)
                (input)
                (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 15 45 27 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 64)(pt 24 48))
        )
        (port
                (pt 32 64)
                (input)
                (text "IN3" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN3" (rect 23 45 35 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 32 64)(pt 32 48))
        )
        (port
                (pt 24 0)
                (output)
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 15 2 27 16)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 21)(pt 24 0))
        )
        (drawing
                (line (pt 12 48)(pt 12 33))
                (line (pt 37 48)(pt 37 33))
                (line (pt 12 48)(pt 37 48))
                (arc (pt 36 33)(pt 12 33)(rect 12 20 37 45))
        )
        (rotate90)
)
(symbol
        (rect 1680 280 1728 344)
        (text "AND3" (rect 38 1 48 25)(font "Arial" (font_size 6))(vertical))
        (text "inst44" (rect -1 3 11 32)(font "Arial" )(vertical))
        (port
                (pt 32 0)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 29 2 41 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 32 0)(pt 32 16))
        )
        (port
                (pt 24 0)
                (input)
                (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 21 2 33 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 0)(pt 24 16))
        )
        (port
                (pt 16 0)
                (input)
                (text "IN3" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN3" (rect 13 2 25 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 16 0)(pt 16 16))
        )
        (port
                (pt 24 64)
                (output)
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 21 48 33 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 43)(pt 24 64))
        )
        (drawing
                (line (pt 36 16)(pt 36 31))
                (line (pt 11 16)(pt 11 31))
                (line (pt 36 16)(pt 11 16))
                (arc (pt 12 31)(pt 36 31)(rect 11 19 36 44))
        )
        (rotate270)
)
(symbol
        (rect 1680 728 1728 792)
        (text "AND3" (rect 0 39 10 63)(font "Arial" (font_size 6))(vertical))
        (text "inst45" (rect 37 32 49 61)(font "Arial" )(vertical))
        (port
                (pt 16 64)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 7 45 19 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 16 64)(pt 16 48))
        )
        (port
                (pt 24 64)
                (input)
                (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 15 45 27 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 64)(pt 24 48))
        )
        (port
                (pt 32 64)
                (input)
                (text "IN3" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN3" (rect 23 45 35 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 32 64)(pt 32 48))
        )
        (port
                (pt 24 0)
                (output)
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 15 2 27 16)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 21)(pt 24 0))
        )
        (drawing
                (line (pt 12 48)(pt 12 33))
                (line (pt 37 48)(pt 37 33))
                (line (pt 12 48)(pt 37 48))
                (arc (pt 36 33)(pt 12 33)(rect 12 20 37 45))
        )
        (rotate90)
)
(symbol
        (rect 1824 280 1872 344)
        (text "AND3" (rect 38 1 48 25)(font "Arial" (font_size 6))(vertical))
        (text "inst50" (rect -1 3 11 32)(font "Arial" )(vertical))
        (port
                (pt 32 0)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 29 2 41 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 32 0)(pt 32 16))
        )
        (port
                (pt 24 0)
                (input)
                (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 21 2 33 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 0)(pt 24 16))
        )
        (port
                (pt 16 0)
                (input)
                (text "IN3" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN3" (rect 13 2 25 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 16 0)(pt 16 16))
        )
        (port
                (pt 24 64)
                (output)
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 21 48 33 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 43)(pt 24 64))
        )
        (drawing
                (line (pt 36 16)(pt 36 31))
                (line (pt 11 16)(pt 11 31))
                (line (pt 36 16)(pt 11 16))
                (arc (pt 12 31)(pt 36 31)(rect 11 19 36 44))
        )
        (rotate270)
)
(symbol
        (rect 1824 728 1872 792)
        (text "AND3" (rect 0 39 10 63)(font "Arial" (font_size 6))(vertical))
        (text "inst51" (rect 37 32 49 61)(font "Arial" )(vertical))
        (port
                (pt 16 64)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 7 45 19 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 16 64)(pt 16 48))
        )
        (port
                (pt 24 64)
                (input)
                (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 15 45 27 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 64)(pt 24 48))
        )
        (port
                (pt 32 64)
                (input)
                (text "IN3" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN3" (rect 23 45 35 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 32 64)(pt 32 48))
        )
        (port
                (pt 24 0)
                (output)
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 15 2 27 16)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 21)(pt 24 0))
        )
        (drawing
                (line (pt 12 48)(pt 12 33))
                (line (pt 37 48)(pt 37 33))
                (line (pt 12 48)(pt 37 48))
                (arc (pt 36 33)(pt 12 33)(rect 12 20 37 45))
        )
        (rotate90)
)
(symbol
        (rect 1968 280 2016 344)
        (text "AND3" (rect 38 1 48 25)(font "Arial" (font_size 6))(vertical))
        (text "inst56" (rect -1 3 11 32)(font "Arial" )(vertical))
        (port
                (pt 32 0)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 29 2 41 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 32 0)(pt 32 16))
        )
        (port
                (pt 24 0)
                (input)
                (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 21 2 33 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 0)(pt 24 16))
        )
        (port
                (pt 16 0)
                (input)
                (text "IN3" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN3" (rect 13 2 25 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 16 0)(pt 16 16))
        )
        (port
                (pt 24 64)
                (output)
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 21 48 33 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 43)(pt 24 64))
        )
        (drawing
                (line (pt 36 16)(pt 36 31))
                (line (pt 11 16)(pt 11 31))
                (line (pt 36 16)(pt 11 16))
                (arc (pt 12 31)(pt 36 31)(rect 11 19 36 44))
        )
        (rotate270)
)
(symbol
        (rect 1968 728 2016 792)
        (text "AND3" (rect 0 39 10 63)(font "Arial" (font_size 6))(vertical))
        (text "inst57" (rect 37 32 49 61)(font "Arial" )(vertical))
        (port
                (pt 16 64)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 7 45 19 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 16 64)(pt 16 48))
        )
        (port
                (pt 24 64)
                (input)
                (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 15 45 27 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 64)(pt 24 48))
        )
        (port
                (pt 32 64)
                (input)
                (text "IN3" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN3" (rect 23 45 35 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 32 64)(pt 32 48))
        )
        (port
                (pt 24 0)
                (output)
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 15 2 27 16)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 21)(pt 24 0))
        )
        (drawing
                (line (pt 12 48)(pt 12 33))
                (line (pt 37 48)(pt 37 33))
                (line (pt 12 48)(pt 37 48))
                (arc (pt 36 33)(pt 12 33)(rect 12 20 37 45))
        )
        (rotate90)
)
(symbol
        (rect 2112 280 2160 344)
        (text "AND3" (rect 38 1 48 25)(font "Arial" (font_size 6))(vertical))
        (text "inst62" (rect -1 3 11 32)(font "Arial" )(vertical))
        (port
                (pt 32 0)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 29 2 41 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 32 0)(pt 32 16))
        )
        (port
                (pt 24 0)
                (input)
                (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 21 2 33 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 0)(pt 24 16))
        )
        (port
                (pt 16 0)
                (input)
                (text "IN3" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN3" (rect 13 2 25 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 16 0)(pt 16 16))
        )
        (port
                (pt 24 64)
                (output)
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 21 48 33 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 43)(pt 24 64))
        )
        (drawing
                (line (pt 36 16)(pt 36 31))
                (line (pt 11 16)(pt 11 31))
                (line (pt 36 16)(pt 11 16))
                (arc (pt 12 31)(pt 36 31)(rect 11 19 36 44))
        )
        (rotate270)
)
(symbol
        (rect 2112 728 2160 792)
        (text "AND3" (rect 0 39 10 63)(font "Arial" (font_size 6))(vertical))
        (text "inst63" (rect 37 32 49 61)(font "Arial" )(vertical))
        (port
                (pt 16 64)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 7 45 19 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 16 64)(pt 16 48))
        )
        (port
                (pt 24 64)
                (input)
                (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 15 45 27 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 64)(pt 24 48))
        )
        (port
                (pt 32 64)
                (input)
                (text "IN3" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN3" (rect 23 45 35 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 32 64)(pt 32 48))
        )
        (port
                (pt 24 0)
                (output)
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 15 2 27 16)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 21)(pt 24 0))
        )
        (drawing
                (line (pt 12 48)(pt 12 33))
                (line (pt 37 48)(pt 37 33))
                (line (pt 12 48)(pt 37 48))
                (arc (pt 36 33)(pt 12 33)(rect 12 20 37 45))
        )
        (rotate90)
)
(symbol
        (rect 2256 280 2304 344)
        (text "AND3" (rect 38 1 48 25)(font "Arial" (font_size 6))(vertical))
        (text "inst68" (rect -1 3 11 32)(font "Arial" )(vertical))
        (port
                (pt 32 0)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 29 2 41 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 32 0)(pt 32 16))
        )
        (port
                (pt 24 0)
                (input)
                (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 21 2 33 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 0)(pt 24 16))
        )
        (port
                (pt 16 0)
                (input)
                (text "IN3" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN3" (rect 13 2 25 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 16 0)(pt 16 16))
        )
        (port
                (pt 24 64)
                (output)
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 21 48 33 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 43)(pt 24 64))
        )
        (drawing
                (line (pt 36 16)(pt 36 31))
                (line (pt 11 16)(pt 11 31))
                (line (pt 36 16)(pt 11 16))
                (arc (pt 12 31)(pt 36 31)(rect 11 19 36 44))
        )
        (rotate270)
)
(symbol
        (rect 2256 728 2304 792)
        (text "AND3" (rect 0 39 10 63)(font "Arial" (font_size 6))(vertical))
        (text "inst69" (rect 37 32 49 61)(font "Arial" )(vertical))
        (port
                (pt 16 64)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 7 45 19 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 16 64)(pt 16 48))
        )
        (port
                (pt 24 64)
                (input)
                (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 15 45 27 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 64)(pt 24 48))
        )
        (port
                (pt 32 64)
                (input)
                (text "IN3" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN3" (rect 23 45 35 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 32 64)(pt 32 48))
        )
        (port
                (pt 24 0)
                (output)
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 15 2 27 16)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 21)(pt 24 0))
        )
        (drawing
                (line (pt 12 48)(pt 12 33))
                (line (pt 37 48)(pt 37 33))
                (line (pt 12 48)(pt 37 48))
                (arc (pt 36 33)(pt 12 33)(rect 12 20 37 45))
        )
        (rotate90)
)
(symbol
        (rect 2400 280 2448 344)
        (text "AND3" (rect 38 1 48 25)(font "Arial" (font_size 6))(vertical))
        (text "inst74" (rect -1 3 11 32)(font "Arial" )(vertical))
        (port
                (pt 32 0)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 29 2 41 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 32 0)(pt 32 16))
        )
        (port
                (pt 24 0)
                (input)
                (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 21 2 33 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 0)(pt 24 16))
        )
        (port
                (pt 16 0)
                (input)
                (text "IN3" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN3" (rect 13 2 25 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 16 0)(pt 16 16))
        )
        (port
                (pt 24 64)
                (output)
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 21 48 33 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 43)(pt 24 64))
        )
        (drawing
                (line (pt 36 16)(pt 36 31))
                (line (pt 11 16)(pt 11 31))
                (line (pt 36 16)(pt 11 16))
                (arc (pt 12 31)(pt 36 31)(rect 11 19 36 44))
        )
        (rotate270)
)
(symbol
        (rect 2400 728 2448 792)
        (text "AND3" (rect 0 39 10 63)(font "Arial" (font_size 6))(vertical))
        (text "inst75" (rect 37 32 49 61)(font "Arial" )(vertical))
        (port
                (pt 16 64)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 7 45 19 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 16 64)(pt 16 48))
        )
        (port
                (pt 24 64)
                (input)
                (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 15 45 27 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 64)(pt 24 48))
        )
        (port
                (pt 32 64)
                (input)
                (text "IN3" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN3" (rect 23 45 35 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 32 64)(pt 32 48))
        )
        (port
                (pt 24 0)
                (output)
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 15 2 27 16)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 21)(pt 24 0))
        )
        (drawing
                (line (pt 12 48)(pt 12 33))
                (line (pt 37 48)(pt 37 33))
                (line (pt 12 48)(pt 37 48))
                (arc (pt 36 33)(pt 12 33)(rect 12 20 37 45))
        )
        (rotate90)
)
(symbol
        (rect 800 280 848 344)
        (text "AND3" (rect 38 1 48 25)(font "Arial" (font_size 6))(vertical))
        (text "i2" (rect -1 3 11 11)(font "Arial" )(vertical))
        (port
                (pt 32 0)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 29 2 41 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 32 0)(pt 32 16))
        )
        (port
                (pt 24 0)
                (input)
                (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 21 2 33 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 0)(pt 24 16))
        )
        (port
                (pt 16 0)
                (input)
                (text "IN3" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN3" (rect 13 2 25 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 16 0)(pt 16 16))
        )
        (port
                (pt 24 64)
                (output)
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 21 48 33 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 43)(pt 24 64))
        )
        (drawing
                (line (pt 36 16)(pt 36 31))
                (line (pt 11 16)(pt 11 31))
                (line (pt 36 16)(pt 11 16))
                (arc (pt 12 31)(pt 36 31)(rect 11 19 36 44))
        )
        (rotate270)
)
(symbol
        (rect 800 728 848 792)
        (text "AND3" (rect 0 39 10 63)(font "Arial" (font_size 6))(vertical))
        (text "i3" (rect 37 53 49 61)(font "Arial" )(vertical))
        (port
                (pt 16 64)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 7 45 19 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 16 64)(pt 16 48))
        )
        (port
                (pt 24 64)
                (input)
                (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 15 45 27 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 64)(pt 24 48))
        )
        (port
                (pt 32 64)
                (input)
                (text "IN3" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN3" (rect 23 45 35 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 32 64)(pt 32 48))
        )
        (port
                (pt 24 0)
                (output)
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 15 2 27 16)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 21)(pt 24 0))
        )
        (drawing
                (line (pt 12 48)(pt 12 33))
                (line (pt 37 48)(pt 37 33))
                (line (pt 12 48)(pt 37 48))
                (arc (pt 36 33)(pt 12 33)(rect 12 20 37 45))
        )
        (rotate90)
)
(symbol
        (rect 944 280 992 344)
        (text "AND3" (rect 38 1 48 25)(font "Arial" (font_size 6))(vertical))
        (text "i8" (rect -1 3 11 11)(font "Arial" )(vertical))
        (port
                (pt 32 0)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 29 2 41 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 32 0)(pt 32 16))
        )
        (port
                (pt 24 0)
                (input)
                (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 21 2 33 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 0)(pt 24 16))
        )
        (port
                (pt 16 0)
                (input)
                (text "IN3" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN3" (rect 13 2 25 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 16 0)(pt 16 16))
        )
        (port
                (pt 24 64)
                (output)
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 21 48 33 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 43)(pt 24 64))
        )
        (drawing
                (line (pt 36 16)(pt 36 31))
                (line (pt 11 16)(pt 11 31))
                (line (pt 36 16)(pt 11 16))
                (arc (pt 12 31)(pt 36 31)(rect 11 19 36 44))
        )
        (rotate270)
)
(symbol
        (rect 944 728 992 792)
        (text "AND3" (rect 0 39 10 63)(font "Arial" (font_size 6))(vertical))
        (text "i9" (rect 37 53 49 61)(font "Arial" )(vertical))
        (port
                (pt 16 64)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 7 45 19 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 16 64)(pt 16 48))
        )
        (port
                (pt 24 64)
                (input)
                (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 15 45 27 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 64)(pt 24 48))
        )
        (port
                (pt 32 64)
                (input)
                (text "IN3" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN3" (rect 23 45 35 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 32 64)(pt 32 48))
        )
        (port
                (pt 24 0)
                (output)
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 15 2 27 16)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 21)(pt 24 0))
        )
        (drawing
                (line (pt 12 48)(pt 12 33))
                (line (pt 37 48)(pt 37 33))
                (line (pt 12 48)(pt 37 48))
                (arc (pt 36 33)(pt 12 33)(rect 12 20 37 45))
        )
        (rotate90)
)
(symbol
        (rect 1064 400 1160 520)
        (text "reg_latch" (rect 82 5 96 58)(font "Arial" (font_size 8))(vertical))
        (text "latch_iy_lo" (rect 4 8 16 61)(font "Arial" )(vertical))
        (port
                (pt 64 0)
                (input)
                (text "oe" (rect 0 0 14 14)(font "Arial" (font_size 8)))
                (text "oe" (rect 55 21 69 35)(font "Arial" (font_size 8))(vertical))
                (line (pt 64 0)(pt 64 16))
        )
        (port
                (pt 48 0)
                (input)
                (text "we" (rect 0 0 18 14)(font "Arial" (font_size 8)))
                (text "we" (rect 39 21 53 39)(font "Arial" (font_size 8))(vertical))
                (line (pt 48 0)(pt 48 16))
        )
        (port
                (pt 32 120)
                (input)
                (text "clk" (rect 0 0 15 14)(font "Arial" (font_size 8)))
                (text "clk" (rect 26 73 40 88)(font "Arial" (font_size 8))(vertical))
                (line (pt 32 104)(pt 32 120))
        )
        (port
                (pt 64 120)
                (bidir)
                (text "db[7..0]" (rect 0 0 42 14)(font "Arial" (font_size 8)))
                (text "db[7..0]" (rect 55 57 69 99)(font "Arial" (font_size 8))(vertical))
                (line (pt 64 120)(pt 64 104)(line_width 3))
        )
        (drawing
                (rectangle (rect 16 16 80 104))
        )
        (rotate270)
        (fill (color 85 255 127))
)
(symbol
        (rect 1064 560 1160 680)
        (text "reg_latch" (rect 82 62 96 115)(font "Arial" (font_size 8))(vertical))
        (text "latch_iy_hi" (rect 4 59 16 112)(font "Arial" )(vertical))
        (port
                (pt 64 120)
                (input)
                (text "oe" (rect 0 0 14 14)(font "Arial" (font_size 8)))
                (text "oe" (rect 55 85 69 99)(font "Arial" (font_size 8))(vertical))
                (line (pt 64 120)(pt 64 104))
        )
        (port
                (pt 48 120)
                (input)
                (text "we" (rect 0 0 18 14)(font "Arial" (font_size 8)))
                (text "we" (rect 39 81 53 99)(font "Arial" (font_size 8))(vertical))
                (line (pt 48 120)(pt 48 104))
        )
        (port
                (pt 32 0)
                (input)
                (text "clk" (rect 0 0 15 14)(font "Arial" (font_size 8)))
                (text "clk" (rect 26 32 40 47)(font "Arial" (font_size 8))(vertical))
                (line (pt 32 16)(pt 32 0))
        )
        (port
                (pt 64 0)
                (bidir)
                (text "db[7..0]" (rect 0 0 42 14)(font "Arial" (font_size 8)))
                (text "db[7..0]" (rect 55 21 69 63)(font "Arial" (font_size 8))(vertical))
                (line (pt 64 0)(pt 64 16)(line_width 3))
        )
        (drawing
                (rectangle (rect 16 16 80 104))
        )
        (flipx_rotate90)
        (fill (color 85 255 127))
)
(symbol
        (rect 1208 400 1304 520)
        (text "reg_latch" (rect 82 5 96 58)(font "Arial" (font_size 8))(vertical))
        (text "latch_ix_lo" (rect 4 8 16 59)(font "Arial" )(vertical))
        (port
                (pt 64 0)
                (input)
                (text "oe" (rect 0 0 14 14)(font "Arial" (font_size 8)))
                (text "oe" (rect 55 21 69 35)(font "Arial" (font_size 8))(vertical))
                (line (pt 64 0)(pt 64 16))
        )
        (port
                (pt 48 0)
                (input)
                (text "we" (rect 0 0 18 14)(font "Arial" (font_size 8)))
                (text "we" (rect 39 21 53 39)(font "Arial" (font_size 8))(vertical))
                (line (pt 48 0)(pt 48 16))
        )
        (port
                (pt 32 120)
                (input)
                (text "clk" (rect 0 0 15 14)(font "Arial" (font_size 8)))
                (text "clk" (rect 26 73 40 88)(font "Arial" (font_size 8))(vertical))
                (line (pt 32 104)(pt 32 120))
        )
        (port
                (pt 64 120)
                (bidir)
                (text "db[7..0]" (rect 0 0 42 14)(font "Arial" (font_size 8)))
                (text "db[7..0]" (rect 55 57 69 99)(font "Arial" (font_size 8))(vertical))
                (line (pt 64 120)(pt 64 104)(line_width 3))
        )
        (drawing
                (rectangle (rect 16 16 80 104))
        )
        (rotate270)
        (fill (color 85 255 127))
)
(symbol
        (rect 1208 560 1304 680)
        (text "reg_latch" (rect 82 62 96 115)(font "Arial" (font_size 8))(vertical))
        (text "latch_ix_hi" (rect 4 61 16 112)(font "Arial" )(vertical))
        (port
                (pt 64 120)
                (input)
                (text "oe" (rect 0 0 14 14)(font "Arial" (font_size 8)))
                (text "oe" (rect 55 85 69 99)(font "Arial" (font_size 8))(vertical))
                (line (pt 64 120)(pt 64 104))
        )
        (port
                (pt 48 120)
                (input)
                (text "we" (rect 0 0 18 14)(font "Arial" (font_size 8)))
                (text "we" (rect 39 81 53 99)(font "Arial" (font_size 8))(vertical))
                (line (pt 48 120)(pt 48 104))
        )
        (port
                (pt 32 0)
                (input)
                (text "clk" (rect 0 0 15 14)(font "Arial" (font_size 8)))
                (text "clk" (rect 26 32 40 47)(font "Arial" (font_size 8))(vertical))
                (line (pt 32 16)(pt 32 0))
        )
        (port
                (pt 64 0)
                (bidir)
                (text "db[7..0]" (rect 0 0 42 14)(font "Arial" (font_size 8)))
                (text "db[7..0]" (rect 55 21 69 63)(font "Arial" (font_size 8))(vertical))
                (line (pt 64 0)(pt 64 16)(line_width 3))
        )
        (drawing
                (rectangle (rect 16 16 80 104))
        )
        (flipx_rotate90)
        (fill (color 85 255 127))
)
(symbol
        (rect 1352 400 1448 520)
        (text "reg_latch" (rect 82 5 96 58)(font "Arial" (font_size 8))(vertical))
        (text "latch_hl2_lo" (rect 4 8 16 65)(font "Arial" )(vertical))
        (port
                (pt 64 0)
                (input)
                (text "oe" (rect 0 0 14 14)(font "Arial" (font_size 8)))
                (text "oe" (rect 55 21 69 35)(font "Arial" (font_size 8))(vertical))
                (line (pt 64 0)(pt 64 16))
        )
        (port
                (pt 48 0)
                (input)
                (text "we" (rect 0 0 18 14)(font "Arial" (font_size 8)))
                (text "we" (rect 39 21 53 39)(font "Arial" (font_size 8))(vertical))
                (line (pt 48 0)(pt 48 16))
        )
        (port
                (pt 32 120)
                (input)
                (text "clk" (rect 0 0 15 14)(font "Arial" (font_size 8)))
                (text "clk" (rect 26 73 40 88)(font "Arial" (font_size 8))(vertical))
                (line (pt 32 104)(pt 32 120))
        )
        (port
                (pt 64 120)
                (bidir)
                (text "db[7..0]" (rect 0 0 42 14)(font "Arial" (font_size 8)))
                (text "db[7..0]" (rect 55 57 69 99)(font "Arial" (font_size 8))(vertical))
                (line (pt 64 120)(pt 64 104)(line_width 3))
        )
        (drawing
                (rectangle (rect 16 16 80 104))
        )
        (rotate270)
        (fill (color 85 255 127))
)
(symbol
        (rect 1496 400 1592 520)
        (text "reg_latch" (rect 82 5 96 58)(font "Arial" (font_size 8))(vertical))
        (text "latch_hl_lo" (rect 4 8 16 59)(font "Arial" )(vertical))
        (port
                (pt 64 0)
                (input)
                (text "oe" (rect 0 0 14 14)(font "Arial" (font_size 8)))
                (text "oe" (rect 55 21 69 35)(font "Arial" (font_size 8))(vertical))
                (line (pt 64 0)(pt 64 16))
        )
        (port
                (pt 48 0)
                (input)
                (text "we" (rect 0 0 18 14)(font "Arial" (font_size 8)))
                (text "we" (rect 39 21 53 39)(font "Arial" (font_size 8))(vertical))
                (line (pt 48 0)(pt 48 16))
        )
        (port
                (pt 32 120)
                (input)
                (text "clk" (rect 0 0 15 14)(font "Arial" (font_size 8)))
                (text "clk" (rect 26 73 40 88)(font "Arial" (font_size 8))(vertical))
                (line (pt 32 104)(pt 32 120))
        )
        (port
                (pt 64 120)
                (bidir)
                (text "db[7..0]" (rect 0 0 42 14)(font "Arial" (font_size 8)))
                (text "db[7..0]" (rect 55 57 69 99)(font "Arial" (font_size 8))(vertical))
                (line (pt 64 120)(pt 64 104)(line_width 3))
        )
        (drawing
                (rectangle (rect 16 16 80 104))
        )
        (rotate270)
        (fill (color 85 255 127))
)
(symbol
        (rect 1496 560 1592 680)
        (text "reg_latch" (rect 82 62 96 115)(font "Arial" (font_size 8))(vertical))
        (text "latch_hl_hi" (rect 4 61 16 112)(font "Arial" )(vertical))
        (port
                (pt 64 120)
                (input)
                (text "oe" (rect 0 0 14 14)(font "Arial" (font_size 8)))
                (text "oe" (rect 55 85 69 99)(font "Arial" (font_size 8))(vertical))
                (line (pt 64 120)(pt 64 104))
        )
        (port
                (pt 48 120)
                (input)
                (text "we" (rect 0 0 18 14)(font "Arial" (font_size 8)))
                (text "we" (rect 39 81 53 99)(font "Arial" (font_size 8))(vertical))
                (line (pt 48 120)(pt 48 104))
        )
        (port
                (pt 32 0)
                (input)
                (text "clk" (rect 0 0 15 14)(font "Arial" (font_size 8)))
                (text "clk" (rect 26 32 40 47)(font "Arial" (font_size 8))(vertical))
                (line (pt 32 16)(pt 32 0))
        )
        (port
                (pt 64 0)
                (bidir)
                (text "db[7..0]" (rect 0 0 42 14)(font "Arial" (font_size 8)))
                (text "db[7..0]" (rect 55 21 69 63)(font "Arial" (font_size 8))(vertical))
                (line (pt 64 0)(pt 64 16)(line_width 3))
        )
        (drawing
                (rectangle (rect 16 16 80 104))
        )
        (flipx_rotate90)
        (fill (color 85 255 127))
)
(symbol
        (rect 1640 400 1736 520)
        (text "reg_latch" (rect 82 5 96 58)(font "Arial" (font_size 8))(vertical))
        (text "latch_de2_lo" (rect 4 8 16 69)(font "Arial" )(vertical))
        (port
                (pt 64 0)
                (input)
                (text "oe" (rect 0 0 14 14)(font "Arial" (font_size 8)))
                (text "oe" (rect 55 21 69 35)(font "Arial" (font_size 8))(vertical))
                (line (pt 64 0)(pt 64 16))
        )
        (port
                (pt 48 0)
                (input)
                (text "we" (rect 0 0 18 14)(font "Arial" (font_size 8)))
                (text "we" (rect 39 21 53 39)(font "Arial" (font_size 8))(vertical))
                (line (pt 48 0)(pt 48 16))
        )
        (port
                (pt 32 120)
                (input)
                (text "clk" (rect 0 0 15 14)(font "Arial" (font_size 8)))
                (text "clk" (rect 26 73 40 88)(font "Arial" (font_size 8))(vertical))
                (line (pt 32 104)(pt 32 120))
        )
        (port
                (pt 64 120)
                (bidir)
                (text "db[7..0]" (rect 0 0 42 14)(font "Arial" (font_size 8)))
                (text "db[7..0]" (rect 55 57 69 99)(font "Arial" (font_size 8))(vertical))
                (line (pt 64 120)(pt 64 104)(line_width 3))
        )
        (drawing
                (rectangle (rect 16 16 80 104))
        )
        (rotate270)
        (fill (color 85 255 127))
)
(symbol
        (rect 1640 560 1736 680)
        (text "reg_latch" (rect 82 62 96 115)(font "Arial" (font_size 8))(vertical))
        (text "latch_de2_hi" (rect 4 51 16 112)(font "Arial" )(vertical))
        (port
                (pt 64 120)
                (input)
                (text "oe" (rect 0 0 14 14)(font "Arial" (font_size 8)))
                (text "oe" (rect 55 85 69 99)(font "Arial" (font_size 8))(vertical))
                (line (pt 64 120)(pt 64 104))
        )
        (port
                (pt 48 120)
                (input)
                (text "we" (rect 0 0 18 14)(font "Arial" (font_size 8)))
                (text "we" (rect 39 81 53 99)(font "Arial" (font_size 8))(vertical))
                (line (pt 48 120)(pt 48 104))
        )
        (port
                (pt 32 0)
                (input)
                (text "clk" (rect 0 0 15 14)(font "Arial" (font_size 8)))
                (text "clk" (rect 26 32 40 47)(font "Arial" (font_size 8))(vertical))
                (line (pt 32 16)(pt 32 0))
        )
        (port
                (pt 64 0)
                (bidir)
                (text "db[7..0]" (rect 0 0 42 14)(font "Arial" (font_size 8)))
                (text "db[7..0]" (rect 55 21 69 63)(font "Arial" (font_size 8))(vertical))
                (line (pt 64 0)(pt 64 16)(line_width 3))
        )
        (drawing
                (rectangle (rect 16 16 80 104))
        )
        (flipx_rotate90)
        (fill (color 85 255 127))
)
(symbol
        (rect 1784 400 1880 520)
        (text "reg_latch" (rect 82 5 96 58)(font "Arial" (font_size 8))(vertical))
        (text "latch_de_lo" (rect 4 8 16 63)(font "Arial" )(vertical))
        (port
                (pt 64 0)
                (input)
                (text "oe" (rect 0 0 14 14)(font "Arial" (font_size 8)))
                (text "oe" (rect 55 21 69 35)(font "Arial" (font_size 8))(vertical))
                (line (pt 64 0)(pt 64 16))
        )
        (port
                (pt 48 0)
                (input)
                (text "we" (rect 0 0 18 14)(font "Arial" (font_size 8)))
                (text "we" (rect 39 21 53 39)(font "Arial" (font_size 8))(vertical))
                (line (pt 48 0)(pt 48 16))
        )
        (port
                (pt 32 120)
                (input)
                (text "clk" (rect 0 0 15 14)(font "Arial" (font_size 8)))
                (text "clk" (rect 26 73 40 88)(font "Arial" (font_size 8))(vertical))
                (line (pt 32 104)(pt 32 120))
        )
        (port
                (pt 64 120)
                (bidir)
                (text "db[7..0]" (rect 0 0 42 14)(font "Arial" (font_size 8)))
                (text "db[7..0]" (rect 55 57 69 99)(font "Arial" (font_size 8))(vertical))
                (line (pt 64 120)(pt 64 104)(line_width 3))
        )
        (drawing
                (rectangle (rect 16 16 80 104))
        )
        (rotate270)
        (fill (color 85 255 127))
)
(symbol
        (rect 1784 560 1880 680)
        (text "reg_latch" (rect 82 62 96 115)(font "Arial" (font_size 8))(vertical))
        (text "latch_de_hi" (rect 4 57 16 112)(font "Arial" )(vertical))
        (port
                (pt 64 120)
                (input)
                (text "oe" (rect 0 0 14 14)(font "Arial" (font_size 8)))
                (text "oe" (rect 55 85 69 99)(font "Arial" (font_size 8))(vertical))
                (line (pt 64 120)(pt 64 104))
        )
        (port
                (pt 48 120)
                (input)
                (text "we" (rect 0 0 18 14)(font "Arial" (font_size 8)))
                (text "we" (rect 39 81 53 99)(font "Arial" (font_size 8))(vertical))
                (line (pt 48 120)(pt 48 104))
        )
        (port
                (pt 32 0)
                (input)
                (text "clk" (rect 0 0 15 14)(font "Arial" (font_size 8)))
                (text "clk" (rect 26 32 40 47)(font "Arial" (font_size 8))(vertical))
                (line (pt 32 16)(pt 32 0))
        )
        (port
                (pt 64 0)
                (bidir)
                (text "db[7..0]" (rect 0 0 42 14)(font "Arial" (font_size 8)))
                (text "db[7..0]" (rect 55 21 69 63)(font "Arial" (font_size 8))(vertical))
                (line (pt 64 0)(pt 64 16)(line_width 3))
        )
        (drawing
                (rectangle (rect 16 16 80 104))
        )
        (flipx_rotate90)
        (fill (color 85 255 127))
)
(symbol
        (rect 1928 400 2024 520)
        (text "reg_latch" (rect 82 5 96 58)(font "Arial" (font_size 8))(vertical))
        (text "latch_bc2_lo" (rect 4 8 16 69)(font "Arial" )(vertical))
        (port
                (pt 64 0)
                (input)
                (text "oe" (rect 0 0 14 14)(font "Arial" (font_size 8)))
                (text "oe" (rect 55 21 69 35)(font "Arial" (font_size 8))(vertical))
                (line (pt 64 0)(pt 64 16))
        )
        (port
                (pt 48 0)
                (input)
                (text "we" (rect 0 0 18 14)(font "Arial" (font_size 8)))
                (text "we" (rect 39 21 53 39)(font "Arial" (font_size 8))(vertical))
                (line (pt 48 0)(pt 48 16))
        )
        (port
                (pt 32 120)
                (input)
                (text "clk" (rect 0 0 15 14)(font "Arial" (font_size 8)))
                (text "clk" (rect 26 73 40 88)(font "Arial" (font_size 8))(vertical))
                (line (pt 32 104)(pt 32 120))
        )
        (port
                (pt 64 120)
                (bidir)
                (text "db[7..0]" (rect 0 0 42 14)(font "Arial" (font_size 8)))
                (text "db[7..0]" (rect 55 57 69 99)(font "Arial" (font_size 8))(vertical))
                (line (pt 64 120)(pt 64 104)(line_width 3))
        )
        (drawing
                (rectangle (rect 16 16 80 104))
        )
        (rotate270)
        (fill (color 85 255 127))
)
(symbol
        (rect 1928 560 2024 680)
        (text "reg_latch" (rect 82 62 96 115)(font "Arial" (font_size 8))(vertical))
        (text "latch_bc2_hi" (rect 4 51 16 112)(font "Arial" )(vertical))
        (port
                (pt 64 120)
                (input)
                (text "oe" (rect 0 0 14 14)(font "Arial" (font_size 8)))
                (text "oe" (rect 55 85 69 99)(font "Arial" (font_size 8))(vertical))
                (line (pt 64 120)(pt 64 104))
        )
        (port
                (pt 48 120)
                (input)
                (text "we" (rect 0 0 18 14)(font "Arial" (font_size 8)))
                (text "we" (rect 39 81 53 99)(font "Arial" (font_size 8))(vertical))
                (line (pt 48 120)(pt 48 104))
        )
        (port
                (pt 32 0)
                (input)
                (text "clk" (rect 0 0 15 14)(font "Arial" (font_size 8)))
                (text "clk" (rect 26 32 40 47)(font "Arial" (font_size 8))(vertical))
                (line (pt 32 16)(pt 32 0))
        )
        (port
                (pt 64 0)
                (bidir)
                (text "db[7..0]" (rect 0 0 42 14)(font "Arial" (font_size 8)))
                (text "db[7..0]" (rect 55 21 69 63)(font "Arial" (font_size 8))(vertical))
                (line (pt 64 0)(pt 64 16)(line_width 3))
        )
        (drawing
                (rectangle (rect 16 16 80 104))
        )
        (flipx_rotate90)
        (fill (color 85 255 127))
)
(symbol
        (rect 2072 400 2168 520)
        (text "reg_latch" (rect 82 5 96 58)(font "Arial" (font_size 8))(vertical))
        (text "latch_bc_lo" (rect 4 8 16 63)(font "Arial" )(vertical))
        (port
                (pt 64 0)
                (input)
                (text "oe" (rect 0 0 14 14)(font "Arial" (font_size 8)))
                (text "oe" (rect 55 21 69 35)(font "Arial" (font_size 8))(vertical))
                (line (pt 64 0)(pt 64 16))
        )
        (port
                (pt 48 0)
                (input)
                (text "we" (rect 0 0 18 14)(font "Arial" (font_size 8)))
                (text "we" (rect 39 21 53 39)(font "Arial" (font_size 8))(vertical))
                (line (pt 48 0)(pt 48 16))
        )
        (port
                (pt 32 120)
                (input)
                (text "clk" (rect 0 0 15 14)(font "Arial" (font_size 8)))
                (text "clk" (rect 26 73 40 88)(font "Arial" (font_size 8))(vertical))
                (line (pt 32 104)(pt 32 120))
        )
        (port
                (pt 64 120)
                (bidir)
                (text "db[7..0]" (rect 0 0 42 14)(font "Arial" (font_size 8)))
                (text "db[7..0]" (rect 55 57 69 99)(font "Arial" (font_size 8))(vertical))
                (line (pt 64 120)(pt 64 104)(line_width 3))
        )
        (drawing
                (rectangle (rect 16 16 80 104))
        )
        (rotate270)
        (fill (color 85 255 127))
)
(symbol
        (rect 2072 560 2168 680)
        (text "reg_latch" (rect 82 62 96 115)(font "Arial" (font_size 8))(vertical))
        (text "latch_bc_hi" (rect 4 57 16 112)(font "Arial" )(vertical))
        (port
                (pt 64 120)
                (input)
                (text "oe" (rect 0 0 14 14)(font "Arial" (font_size 8)))
                (text "oe" (rect 55 85 69 99)(font "Arial" (font_size 8))(vertical))
                (line (pt 64 120)(pt 64 104))
        )
        (port
                (pt 48 120)
                (input)
                (text "we" (rect 0 0 18 14)(font "Arial" (font_size 8)))
                (text "we" (rect 39 81 53 99)(font "Arial" (font_size 8))(vertical))
                (line (pt 48 120)(pt 48 104))
        )
        (port
                (pt 32 0)
                (input)
                (text "clk" (rect 0 0 15 14)(font "Arial" (font_size 8)))
                (text "clk" (rect 26 32 40 47)(font "Arial" (font_size 8))(vertical))
                (line (pt 32 16)(pt 32 0))
        )
        (port
                (pt 64 0)
                (bidir)
                (text "db[7..0]" (rect 0 0 42 14)(font "Arial" (font_size 8)))
                (text "db[7..0]" (rect 55 21 69 63)(font "Arial" (font_size 8))(vertical))
                (line (pt 64 0)(pt 64 16)(line_width 3))
        )
        (drawing
                (rectangle (rect 16 16 80 104))
        )
        (flipx_rotate90)
        (fill (color 85 255 127))
)
(symbol
        (rect 2216 400 2312 520)
        (text "reg_latch" (rect 82 5 96 58)(font "Arial" (font_size 8))(vertical))
        (text "latch_af2_lo" (rect 4 8 16 68)(font "Arial" )(vertical))
        (port
                (pt 64 0)
                (input)
                (text "oe" (rect 0 0 14 14)(font "Arial" (font_size 8)))
                (text "oe" (rect 55 21 69 35)(font "Arial" (font_size 8))(vertical))
                (line (pt 64 0)(pt 64 16))
        )
        (port
                (pt 48 0)
                (input)
                (text "we" (rect 0 0 18 14)(font "Arial" (font_size 8)))
                (text "we" (rect 39 21 53 39)(font "Arial" (font_size 8))(vertical))
                (line (pt 48 0)(pt 48 16))
        )
        (port
                (pt 32 120)
                (input)
                (text "clk" (rect 0 0 15 14)(font "Arial" (font_size 8)))
                (text "clk" (rect 26 73 40 88)(font "Arial" (font_size 8))(vertical))
                (line (pt 32 104)(pt 32 120))
        )
        (port
                (pt 64 120)
                (bidir)
                (text "db[7..0]" (rect 0 0 42 14)(font "Arial" (font_size 8)))
                (text "db[7..0]" (rect 55 57 69 99)(font "Arial" (font_size 8))(vertical))
                (line (pt 64 120)(pt 64 104)(line_width 3))
        )
        (drawing
                (rectangle (rect 16 16 80 104))
        )
        (rotate270)
        (fill (color 85 255 127))
)
(symbol
        (rect 2216 560 2312 680)
        (text "reg_latch" (rect 82 62 96 115)(font "Arial" (font_size 8))(vertical))
        (text "latch_af2_hi" (rect 4 52 16 112)(font "Arial" )(vertical))
        (port
                (pt 64 120)
                (input)
                (text "oe" (rect 0 0 14 14)(font "Arial" (font_size 8)))
                (text "oe" (rect 55 85 69 99)(font "Arial" (font_size 8))(vertical))
                (line (pt 64 120)(pt 64 104))
        )
        (port
                (pt 48 120)
                (input)
                (text "we" (rect 0 0 18 14)(font "Arial" (font_size 8)))
                (text "we" (rect 39 81 53 99)(font "Arial" (font_size 8))(vertical))
                (line (pt 48 120)(pt 48 104))
        )
        (port
                (pt 32 0)
                (input)
                (text "clk" (rect 0 0 15 14)(font "Arial" (font_size 8)))
                (text "clk" (rect 26 32 40 47)(font "Arial" (font_size 8))(vertical))
                (line (pt 32 16)(pt 32 0))
        )
        (port
                (pt 64 0)
                (bidir)
                (text "db[7..0]" (rect 0 0 42 14)(font "Arial" (font_size 8)))
                (text "db[7..0]" (rect 55 21 69 63)(font "Arial" (font_size 8))(vertical))
                (line (pt 64 0)(pt 64 16)(line_width 3))
        )
        (drawing
                (rectangle (rect 16 16 80 104))
        )
        (flipx_rotate90)
        (fill (color 85 255 127))
)
(symbol
        (rect 2360 400 2456 520)
        (text "reg_latch" (rect 82 5 96 58)(font "Arial" (font_size 8))(vertical))
        (text "latch_af_lo" (rect 4 8 16 62)(font "Arial" )(vertical))
        (port
                (pt 64 0)
                (input)
                (text "oe" (rect 0 0 14 14)(font "Arial" (font_size 8)))
                (text "oe" (rect 55 21 69 35)(font "Arial" (font_size 8))(vertical))
                (line (pt 64 0)(pt 64 16))
        )
        (port
                (pt 48 0)
                (input)
                (text "we" (rect 0 0 18 14)(font "Arial" (font_size 8)))
                (text "we" (rect 39 21 53 39)(font "Arial" (font_size 8))(vertical))
                (line (pt 48 0)(pt 48 16))
        )
        (port
                (pt 32 120)
                (input)
                (text "clk" (rect 0 0 15 14)(font "Arial" (font_size 8)))
                (text "clk" (rect 26 73 40 88)(font "Arial" (font_size 8))(vertical))
                (line (pt 32 104)(pt 32 120))
        )
        (port
                (pt 64 120)
                (bidir)
                (text "db[7..0]" (rect 0 0 42 14)(font "Arial" (font_size 8)))
                (text "db[7..0]" (rect 55 57 69 99)(font "Arial" (font_size 8))(vertical))
                (line (pt 64 120)(pt 64 104)(line_width 3))
        )
        (drawing
                (rectangle (rect 16 16 80 104))
        )
        (rotate270)
        (fill (color 85 255 127))
)
(symbol
        (rect 2360 560 2456 680)
        (text "reg_latch" (rect 82 62 96 115)(font "Arial" (font_size 8))(vertical))
        (text "latch_af_hi" (rect 4 58 16 112)(font "Arial" )(vertical))
        (port
                (pt 64 120)
                (input)
                (text "oe" (rect 0 0 14 14)(font "Arial" (font_size 8)))
                (text "oe" (rect 55 85 69 99)(font "Arial" (font_size 8))(vertical))
                (line (pt 64 120)(pt 64 104))
        )
        (port
                (pt 48 120)
                (input)
                (text "we" (rect 0 0 18 14)(font "Arial" (font_size 8)))
                (text "we" (rect 39 81 53 99)(font "Arial" (font_size 8))(vertical))
                (line (pt 48 120)(pt 48 104))
        )
        (port
                (pt 32 0)
                (input)
                (text "clk" (rect 0 0 15 14)(font "Arial" (font_size 8)))
                (text "clk" (rect 26 32 40 47)(font "Arial" (font_size 8))(vertical))
                (line (pt 32 16)(pt 32 0))
        )
        (port
                (pt 64 0)
                (bidir)
                (text "db[7..0]" (rect 0 0 42 14)(font "Arial" (font_size 8)))
                (text "db[7..0]" (rect 55 21 69 63)(font "Arial" (font_size 8))(vertical))
                (line (pt 64 0)(pt 64 16)(line_width 3))
        )
        (drawing
                (rectangle (rect 16 16 80 104))
        )
        (flipx_rotate90)
        (fill (color 85 255 127))
)
(symbol
        (rect 320 560 416 680)
        (text "reg_latch" (rect 82 62 96 115)(font "Arial" (font_size 8))(vertical))
        (text "latch_ir_hi" (rect 4 63 16 112)(font "Arial" )(vertical))
        (port
                (pt 64 120)
                (input)
                (text "oe" (rect 0 0 14 14)(font "Arial" (font_size 8)))
                (text "oe" (rect 55 85 69 99)(font "Arial" (font_size 8))(vertical))
                (line (pt 64 120)(pt 64 104))
        )
        (port
                (pt 48 120)
                (input)
                (text "we" (rect 0 0 18 14)(font "Arial" (font_size 8)))
                (text "we" (rect 39 81 53 99)(font "Arial" (font_size 8))(vertical))
                (line (pt 48 120)(pt 48 104))
        )
        (port
                (pt 32 0)
                (input)
                (text "clk" (rect 0 0 15 14)(font "Arial" (font_size 8)))
                (text "clk" (rect 26 32 40 47)(font "Arial" (font_size 8))(vertical))
                (line (pt 32 16)(pt 32 0))
        )
        (port
                (pt 64 0)
                (bidir)
                (text "db[7..0]" (rect 0 0 42 14)(font "Arial" (font_size 8)))
                (text "db[7..0]" (rect 55 21 69 63)(font "Arial" (font_size 8))(vertical))
                (line (pt 64 0)(pt 64 16)(line_width 3))
        )
        (drawing
                (rectangle (rect 16 16 80 104))
        )
        (flipx_rotate90)
        (fill (color 85 255 127))
)
(symbol
        (rect 464 560 560 680)
        (text "reg_latch" (rect 82 62 96 115)(font "Arial" (font_size 8))(vertical))
        (text "latch_pc_hi" (rect 4 57 16 112)(font "Arial" )(vertical))
        (port
                (pt 64 120)
                (input)
                (text "oe" (rect 0 0 14 14)(font "Arial" (font_size 8)))
                (text "oe" (rect 55 85 69 99)(font "Arial" (font_size 8))(vertical))
                (line (pt 64 120)(pt 64 104))
        )
        (port
                (pt 48 120)
                (input)
                (text "we" (rect 0 0 18 14)(font "Arial" (font_size 8)))
                (text "we" (rect 39 81 53 99)(font "Arial" (font_size 8))(vertical))
                (line (pt 48 120)(pt 48 104))
        )
        (port
                (pt 32 0)
                (input)
                (text "clk" (rect 0 0 15 14)(font "Arial" (font_size 8)))
                (text "clk" (rect 26 32 40 47)(font "Arial" (font_size 8))(vertical))
                (line (pt 32 16)(pt 32 0))
        )
        (port
                (pt 64 0)
                (bidir)
                (text "db[7..0]" (rect 0 0 42 14)(font "Arial" (font_size 8)))
                (text "db[7..0]" (rect 55 21 69 63)(font "Arial" (font_size 8))(vertical))
                (line (pt 64 0)(pt 64 16)(line_width 3))
        )
        (drawing
                (rectangle (rect 16 16 80 104))
        )
        (flipx_rotate90)
        (fill (color 85 255 127))
)
(symbol
        (rect 232 232 280 264)
        (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6)))
        (text "inst" (rect 3 21 20 33)(font "Arial" ))
        (port
                (pt 0 16)
                (input)
                (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible))
                (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible))
                (line (pt 0 16)(pt 13 16))
        )
        (port
                (pt 48 16)
                (output)
                (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 32 7 46 19)(font "Courier New" (bold))(invisible))
                (line (pt 39 16)(pt 48 16))
        )
        (drawing
                (line (pt 13 25)(pt 13 7))
                (line (pt 13 7)(pt 31 16))
                (line (pt 13 25)(pt 31 16))
                (circle (rect 31 12 39 20))
        )
)
(symbol
        (rect 304 280 352 344)
        (text "AND3" (rect 38 1 48 25)(font "Arial" (font_size 6))(vertical))
        (text "inst3" (rect -1 3 11 26)(font "Arial" )(vertical))
        (port
                (pt 32 0)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 29 2 41 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 32 0)(pt 32 16))
        )
        (port
                (pt 24 0)
                (input)
                (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 21 2 33 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 0)(pt 24 16))
        )
        (port
                (pt 16 0)
                (input)
                (text "IN3" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN3" (rect 13 2 25 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 16 0)(pt 16 16))
        )
        (port
                (pt 24 64)
                (output)
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 21 48 33 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 43)(pt 24 64))
        )
        (drawing
                (line (pt 36 16)(pt 36 31))
                (line (pt 11 16)(pt 11 31))
                (line (pt 36 16)(pt 11 16))
                (arc (pt 12 31)(pt 36 31)(rect 11 19 36 44))
        )
        (rotate270)
)
(symbol
        (rect 448 280 496 344)
        (text "AND3" (rect 38 1 48 25)(font "Arial" (font_size 6))(vertical))
        (text "inst4" (rect -1 3 11 26)(font "Arial" )(vertical))
        (port
                (pt 32 0)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 29 2 41 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 32 0)(pt 32 16))
        )
        (port
                (pt 24 0)
                (input)
                (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 21 2 33 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 0)(pt 24 16))
        )
        (port
                (pt 16 0)
                (input)
                (text "IN3" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN3" (rect 13 2 25 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 16 0)(pt 16 16))
        )
        (port
                (pt 24 64)
                (output)
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 21 48 33 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 43)(pt 24 64))
        )
        (drawing
                (line (pt 36 16)(pt 36 31))
                (line (pt 11 16)(pt 11 31))
                (line (pt 36 16)(pt 11 16))
                (arc (pt 12 31)(pt 36 31)(rect 11 19 36 44))
        )
        (rotate270)
)
(symbol
        (rect 744 280 792 344)
        (text "AND3" (rect 38 1 48 25)(font "Arial" (font_size 6))(vertical))
        (text "inst5" (rect -1 3 11 26)(font "Arial" )(vertical))
        (port
                (pt 32 0)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 29 2 41 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 32 0)(pt 32 16))
        )
        (port
                (pt 24 0)
                (input)
                (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 21 2 33 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 0)(pt 24 16))
        )
        (port
                (pt 16 0)
                (input)
                (text "IN3" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN3" (rect 13 2 25 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 16 0)(pt 16 16))
        )
        (port
                (pt 24 64)
                (output)
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 21 48 33 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 43)(pt 24 64))
        )
        (drawing
                (line (pt 36 16)(pt 36 31))
                (line (pt 11 16)(pt 11 31))
                (line (pt 36 16)(pt 11 16))
                (arc (pt 12 31)(pt 36 31)(rect 11 19 36 44))
        )
        (rotate270)
)
(symbol
        (rect 888 280 936 344)
        (text "AND3" (rect 38 1 48 25)(font "Arial" (font_size 6))(vertical))
        (text "inst9" (rect -1 3 11 26)(font "Arial" )(vertical))
        (port
                (pt 32 0)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 29 2 41 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 32 0)(pt 32 16))
        )
        (port
                (pt 24 0)
                (input)
                (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 21 2 33 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 0)(pt 24 16))
        )
        (port
                (pt 16 0)
                (input)
                (text "IN3" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN3" (rect 13 2 25 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 16 0)(pt 16 16))
        )
        (port
                (pt 24 64)
                (output)
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 21 48 33 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 43)(pt 24 64))
        )
        (drawing
                (line (pt 36 16)(pt 36 31))
                (line (pt 11 16)(pt 11 31))
                (line (pt 36 16)(pt 11 16))
                (arc (pt 12 31)(pt 36 31)(rect 11 19 36 44))
        )
        (rotate270)
)
(symbol
        (rect 1048 280 1096 344)
        (text "AND3" (rect 38 1 48 25)(font "Arial" (font_size 6))(vertical))
        (text "inst10" (rect -1 3 11 32)(font "Arial" )(vertical))
        (port
                (pt 32 0)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 29 2 41 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 32 0)(pt 32 16))
        )
        (port
                (pt 24 0)
                (input)
                (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 21 2 33 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 0)(pt 24 16))
        )
        (port
                (pt 16 0)
                (input)
                (text "IN3" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN3" (rect 13 2 25 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 16 0)(pt 16 16))
        )
        (port
                (pt 24 64)
                (output)
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 21 48 33 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 43)(pt 24 64))
        )
        (drawing
                (line (pt 36 16)(pt 36 31))
                (line (pt 11 16)(pt 11 31))
                (line (pt 36 16)(pt 11 16))
                (arc (pt 12 31)(pt 36 31)(rect 11 19 36 44))
        )
        (rotate270)
)
(symbol
        (rect 1192 280 1240 344)
        (text "AND3" (rect 38 1 48 25)(font "Arial" (font_size 6))(vertical))
        (text "inst13" (rect -1 3 11 32)(font "Arial" )(vertical))
        (port
                (pt 32 0)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 29 2 41 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 32 0)(pt 32 16))
        )
        (port
                (pt 24 0)
                (input)
                (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 21 2 33 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 0)(pt 24 16))
        )
        (port
                (pt 16 0)
                (input)
                (text "IN3" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN3" (rect 13 2 25 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 16 0)(pt 16 16))
        )
        (port
                (pt 24 64)
                (output)
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 21 48 33 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 43)(pt 24 64))
        )
        (drawing
                (line (pt 36 16)(pt 36 31))
                (line (pt 11 16)(pt 11 31))
                (line (pt 36 16)(pt 11 16))
                (arc (pt 12 31)(pt 36 31)(rect 11 19 36 44))
        )
        (rotate270)
)
(symbol
        (rect 1336 280 1384 344)
        (text "AND3" (rect 38 1 48 25)(font "Arial" (font_size 6))(vertical))
        (text "inst14" (rect -1 3 11 32)(font "Arial" )(vertical))
        (port
                (pt 32 0)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 29 2 41 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 32 0)(pt 32 16))
        )
        (port
                (pt 24 0)
                (input)
                (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 21 2 33 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 0)(pt 24 16))
        )
        (port
                (pt 16 0)
                (input)
                (text "IN3" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN3" (rect 13 2 25 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 16 0)(pt 16 16))
        )
        (port
                (pt 24 64)
                (output)
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 21 48 33 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 43)(pt 24 64))
        )
        (drawing
                (line (pt 36 16)(pt 36 31))
                (line (pt 11 16)(pt 11 31))
                (line (pt 36 16)(pt 11 16))
                (arc (pt 12 31)(pt 36 31)(rect 11 19 36 44))
        )
        (rotate270)
)
(symbol
        (rect 1480 280 1528 344)
        (text "AND3" (rect 38 1 48 25)(font "Arial" (font_size 6))(vertical))
        (text "inst16" (rect -1 3 11 32)(font "Arial" )(vertical))
        (port
                (pt 32 0)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 29 2 41 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 32 0)(pt 32 16))
        )
        (port
                (pt 24 0)
                (input)
                (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 21 2 33 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 0)(pt 24 16))
        )
        (port
                (pt 16 0)
                (input)
                (text "IN3" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN3" (rect 13 2 25 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 16 0)(pt 16 16))
        )
        (port
                (pt 24 64)
                (output)
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 21 48 33 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 43)(pt 24 64))
        )
        (drawing
                (line (pt 36 16)(pt 36 31))
                (line (pt 11 16)(pt 11 31))
                (line (pt 36 16)(pt 11 16))
                (arc (pt 12 31)(pt 36 31)(rect 11 19 36 44))
        )
        (rotate270)
)
(symbol
        (rect 1624 280 1672 344)
        (text "AND3" (rect 38 1 48 25)(font "Arial" (font_size 6))(vertical))
        (text "inst17" (rect -1 3 11 32)(font "Arial" )(vertical))
        (port
                (pt 32 0)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 29 2 41 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 32 0)(pt 32 16))
        )
        (port
                (pt 24 0)
                (input)
                (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 21 2 33 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 0)(pt 24 16))
        )
        (port
                (pt 16 0)
                (input)
                (text "IN3" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN3" (rect 13 2 25 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 16 0)(pt 16 16))
        )
        (port
                (pt 24 64)
                (output)
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 21 48 33 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 43)(pt 24 64))
        )
        (drawing
                (line (pt 36 16)(pt 36 31))
                (line (pt 11 16)(pt 11 31))
                (line (pt 36 16)(pt 11 16))
                (arc (pt 12 31)(pt 36 31)(rect 11 19 36 44))
        )
        (rotate270)
)
(symbol
        (rect 1768 280 1816 344)
        (text "AND3" (rect 38 1 48 25)(font "Arial" (font_size 6))(vertical))
        (text "inst18" (rect -1 3 11 32)(font "Arial" )(vertical))
        (port
                (pt 32 0)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 29 2 41 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 32 0)(pt 32 16))
        )
        (port
                (pt 24 0)
                (input)
                (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 21 2 33 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 0)(pt 24 16))
        )
        (port
                (pt 16 0)
                (input)
                (text "IN3" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN3" (rect 13 2 25 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 16 0)(pt 16 16))
        )
        (port
                (pt 24 64)
                (output)
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 21 48 33 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 43)(pt 24 64))
        )
        (drawing
                (line (pt 36 16)(pt 36 31))
                (line (pt 11 16)(pt 11 31))
                (line (pt 36 16)(pt 11 16))
                (arc (pt 12 31)(pt 36 31)(rect 11 19 36 44))
        )
        (rotate270)
)
(symbol
        (rect 1912 280 1960 344)
        (text "AND3" (rect 38 1 48 25)(font "Arial" (font_size 6))(vertical))
        (text "inst22" (rect -1 3 11 32)(font "Arial" )(vertical))
        (port
                (pt 32 0)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 29 2 41 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 32 0)(pt 32 16))
        )
        (port
                (pt 24 0)
                (input)
                (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 21 2 33 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 0)(pt 24 16))
        )
        (port
                (pt 16 0)
                (input)
                (text "IN3" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN3" (rect 13 2 25 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 16 0)(pt 16 16))
        )
        (port
                (pt 24 64)
                (output)
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 21 48 33 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 43)(pt 24 64))
        )
        (drawing
                (line (pt 36 16)(pt 36 31))
                (line (pt 11 16)(pt 11 31))
                (line (pt 36 16)(pt 11 16))
                (arc (pt 12 31)(pt 36 31)(rect 11 19 36 44))
        )
        (rotate270)
)
(symbol
        (rect 2056 280 2104 344)
        (text "AND3" (rect 38 1 48 25)(font "Arial" (font_size 6))(vertical))
        (text "inst23" (rect -1 3 11 32)(font "Arial" )(vertical))
        (port
                (pt 32 0)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 29 2 41 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 32 0)(pt 32 16))
        )
        (port
                (pt 24 0)
                (input)
                (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 21 2 33 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 0)(pt 24 16))
        )
        (port
                (pt 16 0)
                (input)
                (text "IN3" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN3" (rect 13 2 25 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 16 0)(pt 16 16))
        )
        (port
                (pt 24 64)
                (output)
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 21 48 33 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 43)(pt 24 64))
        )
        (drawing
                (line (pt 36 16)(pt 36 31))
                (line (pt 11 16)(pt 11 31))
                (line (pt 36 16)(pt 11 16))
                (arc (pt 12 31)(pt 36 31)(rect 11 19 36 44))
        )
        (rotate270)
)
(symbol
        (rect 2200 280 2248 344)
        (text "AND3" (rect 38 1 48 25)(font "Arial" (font_size 6))(vertical))
        (text "inst24" (rect -1 3 11 32)(font "Arial" )(vertical))
        (port
                (pt 32 0)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 29 2 41 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 32 0)(pt 32 16))
        )
        (port
                (pt 24 0)
                (input)
                (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 21 2 33 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 0)(pt 24 16))
        )
        (port
                (pt 16 0)
                (input)
                (text "IN3" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN3" (rect 13 2 25 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 16 0)(pt 16 16))
        )
        (port
                (pt 24 64)
                (output)
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 21 48 33 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 43)(pt 24 64))
        )
        (drawing
                (line (pt 36 16)(pt 36 31))
                (line (pt 11 16)(pt 11 31))
                (line (pt 36 16)(pt 11 16))
                (arc (pt 12 31)(pt 36 31)(rect 11 19 36 44))
        )
        (rotate270)
)
(symbol
        (rect 2344 280 2392 344)
        (text "AND3" (rect 38 1 48 25)(font "Arial" (font_size 6))(vertical))
        (text "inst28" (rect -1 3 11 32)(font "Arial" )(vertical))
        (port
                (pt 32 0)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 29 2 41 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 32 0)(pt 32 16))
        )
        (port
                (pt 24 0)
                (input)
                (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 21 2 33 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 0)(pt 24 16))
        )
        (port
                (pt 16 0)
                (input)
                (text "IN3" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN3" (rect 13 2 25 19)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 16 0)(pt 16 16))
        )
        (port
                (pt 24 64)
                (output)
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 21 48 33 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 43)(pt 24 64))
        )
        (drawing
                (line (pt 36 16)(pt 36 31))
                (line (pt 11 16)(pt 11 31))
                (line (pt 36 16)(pt 11 16))
                (arc (pt 12 31)(pt 36 31)(rect 11 19 36 44))
        )
        (rotate270)
)
(symbol
        (rect 744 728 792 792)
        (text "AND3" (rect 0 39 10 63)(font "Arial" (font_size 6))(vertical))
        (text "inst19" (rect 37 32 49 61)(font "Arial" )(vertical))
        (port
                (pt 16 64)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 7 45 19 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 16 64)(pt 16 48))
        )
        (port
                (pt 24 64)
                (input)
                (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 15 45 27 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 64)(pt 24 48))
        )
        (port
                (pt 32 64)
                (input)
                (text "IN3" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN3" (rect 23 45 35 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 32 64)(pt 32 48))
        )
        (port
                (pt 24 0)
                (output)
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 15 2 27 16)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 21)(pt 24 0))
        )
        (drawing
                (line (pt 12 48)(pt 12 33))
                (line (pt 37 48)(pt 37 33))
                (line (pt 12 48)(pt 37 48))
                (arc (pt 36 33)(pt 12 33)(rect 12 20 37 45))
        )
        (rotate90)
)
(symbol
        (rect 888 728 936 792)
        (text "AND3" (rect 0 39 10 63)(font "Arial" (font_size 6))(vertical))
        (text "inst25" (rect 37 32 49 61)(font "Arial" )(vertical))
        (port
                (pt 16 64)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 7 45 19 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 16 64)(pt 16 48))
        )
        (port
                (pt 24 64)
                (input)
                (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 15 45 27 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 64)(pt 24 48))
        )
        (port
                (pt 32 64)
                (input)
                (text "IN3" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN3" (rect 23 45 35 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 32 64)(pt 32 48))
        )
        (port
                (pt 24 0)
                (output)
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 15 2 27 16)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 21)(pt 24 0))
        )
        (drawing
                (line (pt 12 48)(pt 12 33))
                (line (pt 37 48)(pt 37 33))
                (line (pt 12 48)(pt 37 48))
                (arc (pt 36 33)(pt 12 33)(rect 12 20 37 45))
        )
        (rotate90)
)
(symbol
        (rect 1048 728 1096 792)
        (text "AND3" (rect 0 39 10 63)(font "Arial" (font_size 6))(vertical))
        (text "inst29" (rect 37 32 49 61)(font "Arial" )(vertical))
        (port
                (pt 16 64)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 7 45 19 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 16 64)(pt 16 48))
        )
        (port
                (pt 24 64)
                (input)
                (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 15 45 27 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 64)(pt 24 48))
        )
        (port
                (pt 32 64)
                (input)
                (text "IN3" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN3" (rect 23 45 35 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 32 64)(pt 32 48))
        )
        (port
                (pt 24 0)
                (output)
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 15 2 27 16)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 21)(pt 24 0))
        )
        (drawing
                (line (pt 12 48)(pt 12 33))
                (line (pt 37 48)(pt 37 33))
                (line (pt 12 48)(pt 37 48))
                (arc (pt 36 33)(pt 12 33)(rect 12 20 37 45))
        )
        (rotate90)
)
(symbol
        (rect 1192 728 1240 792)
        (text "AND3" (rect 0 39 10 63)(font "Arial" (font_size 6))(vertical))
        (text "inst30" (rect 37 32 49 61)(font "Arial" )(vertical))
        (port
                (pt 16 64)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 7 45 19 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 16 64)(pt 16 48))
        )
        (port
                (pt 24 64)
                (input)
                (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 15 45 27 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 64)(pt 24 48))
        )
        (port
                (pt 32 64)
                (input)
                (text "IN3" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN3" (rect 23 45 35 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 32 64)(pt 32 48))
        )
        (port
                (pt 24 0)
                (output)
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 15 2 27 16)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 21)(pt 24 0))
        )
        (drawing
                (line (pt 12 48)(pt 12 33))
                (line (pt 37 48)(pt 37 33))
                (line (pt 12 48)(pt 37 48))
                (arc (pt 36 33)(pt 12 33)(rect 12 20 37 45))
        )
        (rotate90)
)
(symbol
        (rect 1336 728 1384 792)
        (text "AND3" (rect 0 39 10 63)(font "Arial" (font_size 6))(vertical))
        (text "inst31" (rect 37 32 49 61)(font "Arial" )(vertical))
        (port
                (pt 16 64)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 7 45 19 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 16 64)(pt 16 48))
        )
        (port
                (pt 24 64)
                (input)
                (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 15 45 27 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 64)(pt 24 48))
        )
        (port
                (pt 32 64)
                (input)
                (text "IN3" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN3" (rect 23 45 35 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 32 64)(pt 32 48))
        )
        (port
                (pt 24 0)
                (output)
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 15 2 27 16)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 21)(pt 24 0))
        )
        (drawing
                (line (pt 12 48)(pt 12 33))
                (line (pt 37 48)(pt 37 33))
                (line (pt 12 48)(pt 37 48))
                (arc (pt 36 33)(pt 12 33)(rect 12 20 37 45))
        )
        (rotate90)
)
(symbol
        (rect 1480 728 1528 792)
        (text "AND3" (rect 0 39 10 63)(font "Arial" (font_size 6))(vertical))
        (text "inst34" (rect 37 32 49 61)(font "Arial" )(vertical))
        (port
                (pt 16 64)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 7 45 19 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 16 64)(pt 16 48))
        )
        (port
                (pt 24 64)
                (input)
                (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 15 45 27 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 64)(pt 24 48))
        )
        (port
                (pt 32 64)
                (input)
                (text "IN3" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN3" (rect 23 45 35 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 32 64)(pt 32 48))
        )
        (port
                (pt 24 0)
                (output)
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 15 2 27 16)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 21)(pt 24 0))
        )
        (drawing
                (line (pt 12 48)(pt 12 33))
                (line (pt 37 48)(pt 37 33))
                (line (pt 12 48)(pt 37 48))
                (arc (pt 36 33)(pt 12 33)(rect 12 20 37 45))
        )
        (rotate90)
)
(symbol
        (rect 1624 728 1672 792)
        (text "AND3" (rect 0 39 10 63)(font "Arial" (font_size 6))(vertical))
        (text "inst35" (rect 37 32 49 61)(font "Arial" )(vertical))
        (port
                (pt 16 64)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 7 45 19 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 16 64)(pt 16 48))
        )
        (port
                (pt 24 64)
                (input)
                (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 15 45 27 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 64)(pt 24 48))
        )
        (port
                (pt 32 64)
                (input)
                (text "IN3" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN3" (rect 23 45 35 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 32 64)(pt 32 48))
        )
        (port
                (pt 24 0)
                (output)
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 15 2 27 16)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 21)(pt 24 0))
        )
        (drawing
                (line (pt 12 48)(pt 12 33))
                (line (pt 37 48)(pt 37 33))
                (line (pt 12 48)(pt 37 48))
                (arc (pt 36 33)(pt 12 33)(rect 12 20 37 45))
        )
        (rotate90)
)
(symbol
        (rect 1768 728 1816 792)
        (text "AND3" (rect 0 39 10 63)(font "Arial" (font_size 6))(vertical))
        (text "inst36" (rect 37 32 49 61)(font "Arial" )(vertical))
        (port
                (pt 16 64)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 7 45 19 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 16 64)(pt 16 48))
        )
        (port
                (pt 24 64)
                (input)
                (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 15 45 27 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 64)(pt 24 48))
        )
        (port
                (pt 32 64)
                (input)
                (text "IN3" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN3" (rect 23 45 35 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 32 64)(pt 32 48))
        )
        (port
                (pt 24 0)
                (output)
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 15 2 27 16)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 21)(pt 24 0))
        )
        (drawing
                (line (pt 12 48)(pt 12 33))
                (line (pt 37 48)(pt 37 33))
                (line (pt 12 48)(pt 37 48))
                (arc (pt 36 33)(pt 12 33)(rect 12 20 37 45))
        )
        (rotate90)
)
(symbol
        (rect 1912 728 1960 792)
        (text "AND3" (rect 0 39 10 63)(font "Arial" (font_size 6))(vertical))
        (text "inst37" (rect 37 32 49 61)(font "Arial" )(vertical))
        (port
                (pt 16 64)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 7 45 19 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 16 64)(pt 16 48))
        )
        (port
                (pt 24 64)
                (input)
                (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 15 45 27 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 64)(pt 24 48))
        )
        (port
                (pt 32 64)
                (input)
                (text "IN3" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN3" (rect 23 45 35 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 32 64)(pt 32 48))
        )
        (port
                (pt 24 0)
                (output)
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 15 2 27 16)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 21)(pt 24 0))
        )
        (drawing
                (line (pt 12 48)(pt 12 33))
                (line (pt 37 48)(pt 37 33))
                (line (pt 12 48)(pt 37 48))
                (arc (pt 36 33)(pt 12 33)(rect 12 20 37 45))
        )
        (rotate90)
)
(symbol
        (rect 2056 728 2104 792)
        (text "AND3" (rect 0 39 10 63)(font "Arial" (font_size 6))(vertical))
        (text "inst40" (rect 37 32 49 61)(font "Arial" )(vertical))
        (port
                (pt 16 64)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 7 45 19 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 16 64)(pt 16 48))
        )
        (port
                (pt 24 64)
                (input)
                (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 15 45 27 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 64)(pt 24 48))
        )
        (port
                (pt 32 64)
                (input)
                (text "IN3" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN3" (rect 23 45 35 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 32 64)(pt 32 48))
        )
        (port
                (pt 24 0)
                (output)
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 15 2 27 16)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 21)(pt 24 0))
        )
        (drawing
                (line (pt 12 48)(pt 12 33))
                (line (pt 37 48)(pt 37 33))
                (line (pt 12 48)(pt 37 48))
                (arc (pt 36 33)(pt 12 33)(rect 12 20 37 45))
        )
        (rotate90)
)
(symbol
        (rect 2200 728 2248 792)
        (text "AND3" (rect 0 39 10 63)(font "Arial" (font_size 6))(vertical))
        (text "inst41" (rect 37 32 49 61)(font "Arial" )(vertical))
        (port
                (pt 16 64)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 7 45 19 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 16 64)(pt 16 48))
        )
        (port
                (pt 24 64)
                (input)
                (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 15 45 27 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 64)(pt 24 48))
        )
        (port
                (pt 32 64)
                (input)
                (text "IN3" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN3" (rect 23 45 35 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 32 64)(pt 32 48))
        )
        (port
                (pt 24 0)
                (output)
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 15 2 27 16)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 21)(pt 24 0))
        )
        (drawing
                (line (pt 12 48)(pt 12 33))
                (line (pt 37 48)(pt 37 33))
                (line (pt 12 48)(pt 37 48))
                (arc (pt 36 33)(pt 12 33)(rect 12 20 37 45))
        )
        (rotate90)
)
(symbol
        (rect 2344 728 2392 792)
        (text "AND3" (rect 0 39 10 63)(font "Arial" (font_size 6))(vertical))
        (text "inst42" (rect 37 32 49 61)(font "Arial" )(vertical))
        (port
                (pt 16 64)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 7 45 19 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 16 64)(pt 16 48))
        )
        (port
                (pt 24 64)
                (input)
                (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 15 45 27 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 64)(pt 24 48))
        )
        (port
                (pt 32 64)
                (input)
                (text "IN3" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN3" (rect 23 45 35 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 32 64)(pt 32 48))
        )
        (port
                (pt 24 0)
                (output)
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 15 2 27 16)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 21)(pt 24 0))
        )
        (drawing
                (line (pt 12 48)(pt 12 33))
                (line (pt 37 48)(pt 37 33))
                (line (pt 12 48)(pt 37 48))
                (arc (pt 36 33)(pt 12 33)(rect 12 20 37 45))
        )
        (rotate90)
)
(symbol
        (rect 304 728 352 792)
        (text "AND3" (rect 0 39 10 63)(font "Arial" (font_size 6))(vertical))
        (text "inst43" (rect 37 32 49 61)(font "Arial" )(vertical))
        (port
                (pt 16 64)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 7 45 19 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 16 64)(pt 16 48))
        )
        (port
                (pt 24 64)
                (input)
                (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 15 45 27 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 64)(pt 24 48))
        )
        (port
                (pt 32 64)
                (input)
                (text "IN3" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN3" (rect 23 45 35 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 32 64)(pt 32 48))
        )
        (port
                (pt 24 0)
                (output)
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 15 2 27 16)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 21)(pt 24 0))
        )
        (drawing
                (line (pt 12 48)(pt 12 33))
                (line (pt 37 48)(pt 37 33))
                (line (pt 12 48)(pt 37 48))
                (arc (pt 36 33)(pt 12 33)(rect 12 20 37 45))
        )
        (rotate90)
)
(symbol
        (rect 448 728 496 792)
        (text "AND3" (rect 0 39 10 63)(font "Arial" (font_size 6))(vertical))
        (text "inst46" (rect 37 32 49 61)(font "Arial" )(vertical))
        (port
                (pt 16 64)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 7 45 19 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 16 64)(pt 16 48))
        )
        (port
                (pt 24 64)
                (input)
                (text "IN2" (rect 2 15 19 27)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 15 45 27 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 64)(pt 24 48))
        )
        (port
                (pt 32 64)
                (input)
                (text "IN3" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN3" (rect 23 45 35 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 32 64)(pt 32 48))
        )
        (port
                (pt 24 0)
                (output)
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 15 2 27 16)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 21)(pt 24 0))
        )
        (drawing
                (line (pt 12 48)(pt 12 33))
                (line (pt 37 48)(pt 37 33))
                (line (pt 12 48)(pt 37 48))
                (arc (pt 36 33)(pt 12 33)(rect 12 20 37 45))
        )
        (rotate90)
)
(symbol
        (rect 632 328 680 360)
        (text "TRI" (rect 1 0 16 10)(font "Arial" (font_size 6)))
        (text "tri1" (rect 3 21 18 33)(font "Arial" ))
        (port
                (pt 0 16)
                (input)
                (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible))
                (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible))
                (line (pt 0 16)(pt 14 16))
        )
        (port
                (pt 24 0)
                (input)
                (text "OE" (rect 26 0 37 12)(font "Courier New" (bold))(invisible))
                (text "OE" (rect 26 0 37 12)(font "Courier New" (bold))(invisible))
                (line (pt 24 12)(pt 24 0))
        )
        (port
                (pt 48 16)
                (output)
                (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 32 7 46 19)(font "Courier New" (bold))(invisible))
                (line (pt 32 16)(pt 48 16))
        )
        (drawing
                (line (pt 14 25)(pt 14 7))
                (line (pt 14 25)(pt 32 16))
                (line (pt 14 7)(pt 32 16))
        )
)
(symbol
        (rect 632 360 680 392)
        (text "TRI" (rect 32 22 47 32)(font "Arial" (font_size 6)))
        (text "tri2" (rect 30 -1 45 11)(font "Arial" ))
        (port
                (pt 48 16)
                (input)
                (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible))
                (text "IN" (rect 35 13 46 25)(font "Courier New" (bold))(invisible))
                (line (pt 48 16)(pt 34 16))
        )
        (port
                (pt 24 32)
                (input)
                (text "OE" (rect 26 0 37 12)(font "Courier New" (bold))(invisible))
                (text "OE" (rect 11 20 22 32)(font "Courier New" (bold))(invisible))
                (line (pt 24 20)(pt 24 32))
        )
        (port
                (pt 0 16)
                (output)
                (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 2 13 16 25)(font "Courier New" (bold))(invisible))
                (line (pt 16 16)(pt 0 16))
        )
        (drawing
                (line (pt 34 7)(pt 34 25))
                (line (pt 34 7)(pt 16 16))
                (line (pt 34 25)(pt 16 16))
        )
        (rotate180)
)
(symbol
        (rect 632 680 680 712)
        (text "TRI" (rect 1 0 16 10)(font "Arial" (font_size 6)))
        (text "tri3" (rect 3 21 18 33)(font "Arial" ))
        (port
                (pt 0 16)
                (input)
                (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible))
                (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible))
                (line (pt 0 16)(pt 14 16))
        )
        (port
                (pt 24 0)
                (input)
                (text "OE" (rect 26 0 37 12)(font "Courier New" (bold))(invisible))
                (text "OE" (rect 26 0 37 12)(font "Courier New" (bold))(invisible))
                (line (pt 24 12)(pt 24 0))
        )
        (port
                (pt 48 16)
                (output)
                (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 32 7 46 19)(font "Courier New" (bold))(invisible))
                (line (pt 32 16)(pt 48 16))
        )
        (drawing
                (line (pt 14 25)(pt 14 7))
                (line (pt 14 25)(pt 32 16))
                (line (pt 14 7)(pt 32 16))
        )
)
(symbol
        (rect 632 712 680 744)
        (text "TRI" (rect 32 22 47 32)(font "Arial" (font_size 6)))
        (text "tri4" (rect 30 -1 45 11)(font "Arial" ))
        (port
                (pt 48 16)
                (input)
                (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible))
                (text "IN" (rect 35 13 46 25)(font "Courier New" (bold))(invisible))
                (line (pt 48 16)(pt 34 16))
        )
        (port
                (pt 24 32)
                (input)
                (text "OE" (rect 26 0 37 12)(font "Courier New" (bold))(invisible))
                (text "OE" (rect 11 20 22 32)(font "Courier New" (bold))(invisible))
                (line (pt 24 20)(pt 24 32))
        )
        (port
                (pt 0 16)
                (output)
                (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 2 13 16 25)(font "Courier New" (bold))(invisible))
                (line (pt 16 16)(pt 0 16))
        )
        (drawing
                (line (pt 34 7)(pt 34 25))
                (line (pt 34 7)(pt 16 16))
                (line (pt 34 25)(pt 16 16))
        )
        (rotate180)
)
(symbol
        (rect 2600 232 2648 264)
        (text "NOT" (rect 27 0 47 10)(font "Arial" (font_size 6)))
        (text "inst2" (rect 22 21 45 33)(font "Arial" ))
        (port
                (pt 48 16)
                (input)
                (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible))
                (text "IN" (rect 35 7 46 19)(font "Courier New" (bold))(invisible))
                (line (pt 48 16)(pt 35 16))
        )
        (port
                (pt 0 16)
                (output)
                (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 2 7 16 19)(font "Courier New" (bold))(invisible))
                (line (pt 9 16)(pt 0 16))
        )
        (drawing
                (line (pt 35 25)(pt 35 7))
                (line (pt 35 7)(pt 17 16))
                (line (pt 35 25)(pt 17 16))
                (circle (rect 9 12 17 20))
        )
        (flipy)
)
(symbol
        (rect 1352 560 1448 680)
        (text "reg_latch" (rect 82 62 96 115)(font "Arial" (font_size 8))(vertical))
        (text "latch_hl2_hi" (rect 4 55 16 112)(font "Arial" )(vertical))
        (port
                (pt 64 120)
                (input)
                (text "oe" (rect 0 0 14 14)(font "Arial" (font_size 8)))
                (text "oe" (rect 55 85 69 99)(font "Arial" (font_size 8))(vertical))
                (line (pt 64 120)(pt 64 104))
        )
        (port
                (pt 48 120)
                (input)
                (text "we" (rect 0 0 18 14)(font "Arial" (font_size 8)))
                (text "we" (rect 39 81 53 99)(font "Arial" (font_size 8))(vertical))
                (line (pt 48 120)(pt 48 104))
        )
        (port
                (pt 32 0)
                (input)
                (text "clk" (rect 0 0 15 14)(font "Arial" (font_size 8)))
                (text "clk" (rect 26 32 40 47)(font "Arial" (font_size 8))(vertical))
                (line (pt 32 16)(pt 32 0))
        )
        (port
                (pt 64 0)
                (bidir)
                (text "db[7..0]" (rect 0 0 42 14)(font "Arial" (font_size 8)))
                (text "db[7..0]" (rect 55 21 69 63)(font "Arial" (font_size 8))(vertical))
                (line (pt 64 0)(pt 64 16)(line_width 3))
        )
        (drawing
                (rectangle (rect 16 16 80 104))
        )
        (flipx_rotate90)
        (fill (color 85 255 127))
)
(symbol
        (rect 904 400 1000 520)
        (text "reg_latch" (rect 82 5 96 58)(font "Arial" (font_size 8))(vertical))
        (text "latch_sp_lo" (rect 4 8 16 63)(font "Arial" )(vertical))
        (port
                (pt 64 0)
                (input)
                (text "oe" (rect 0 0 14 14)(font "Arial" (font_size 8)))
                (text "oe" (rect 55 21 69 35)(font "Arial" (font_size 8))(vertical))
                (line (pt 64 0)(pt 64 16))
        )
        (port
                (pt 48 0)
                (input)
                (text "we" (rect 0 0 18 14)(font "Arial" (font_size 8)))
                (text "we" (rect 39 21 53 39)(font "Arial" (font_size 8))(vertical))
                (line (pt 48 0)(pt 48 16))
        )
        (port
                (pt 32 120)
                (input)
                (text "clk" (rect 0 0 15 14)(font "Arial" (font_size 8)))
                (text "clk" (rect 26 73 40 88)(font "Arial" (font_size 8))(vertical))
                (line (pt 32 104)(pt 32 120))
        )
        (port
                (pt 64 120)
                (bidir)
                (text "db[7..0]" (rect 0 0 42 14)(font "Arial" (font_size 8)))
                (text "db[7..0]" (rect 55 57 69 99)(font "Arial" (font_size 8))(vertical))
                (line (pt 64 120)(pt 64 104)(line_width 3))
        )
        (drawing
                (rectangle (rect 16 16 80 104))
        )
        (rotate270)
        (fill (color 85 255 127))
)
(symbol
        (rect 904 560 1000 680)
        (text "reg_latch" (rect 82 62 96 115)(font "Arial" (font_size 8))(vertical))
        (text "latch_sp_hi" (rect 4 57 16 112)(font "Arial" )(vertical))
        (port
                (pt 64 120)
                (input)
                (text "oe" (rect 0 0 14 14)(font "Arial" (font_size 8)))
                (text "oe" (rect 55 85 69 99)(font "Arial" (font_size 8))(vertical))
                (line (pt 64 120)(pt 64 104))
        )
        (port
                (pt 48 120)
                (input)
                (text "we" (rect 0 0 18 14)(font "Arial" (font_size 8)))
                (text "we" (rect 39 81 53 99)(font "Arial" (font_size 8))(vertical))
                (line (pt 48 120)(pt 48 104))
        )
        (port
                (pt 32 0)
                (input)
                (text "clk" (rect 0 0 15 14)(font "Arial" (font_size 8)))
                (text "clk" (rect 26 32 40 47)(font "Arial" (font_size 8))(vertical))
                (line (pt 32 16)(pt 32 0))
        )
        (port
                (pt 64 0)
                (bidir)
                (text "db[7..0]" (rect 0 0 42 14)(font "Arial" (font_size 8)))
                (text "db[7..0]" (rect 55 21 69 63)(font "Arial" (font_size 8))(vertical))
                (line (pt 64 0)(pt 64 16)(line_width 3))
        )
        (drawing
                (rectangle (rect 16 16 80 104))
        )
        (flipx_rotate90)
        (fill (color 85 255 127))
)
(symbol
        (rect 760 400 856 520)
        (text "reg_latch" (rect 82 5 96 58)(font "Arial" (font_size 8))(vertical))
        (text "latch_wz_lo" (rect 4 8 16 63)(font "Arial" )(vertical))
        (port
                (pt 64 0)
                (input)
                (text "oe" (rect 0 0 14 14)(font "Arial" (font_size 8)))
                (text "oe" (rect 55 21 69 35)(font "Arial" (font_size 8))(vertical))
                (line (pt 64 0)(pt 64 16))
        )
        (port
                (pt 48 0)
                (input)
                (text "we" (rect 0 0 18 14)(font "Arial" (font_size 8)))
                (text "we" (rect 39 21 53 39)(font "Arial" (font_size 8))(vertical))
                (line (pt 48 0)(pt 48 16))
        )
        (port
                (pt 32 120)
                (input)
                (text "clk" (rect 0 0 15 14)(font "Arial" (font_size 8)))
                (text "clk" (rect 26 73 40 88)(font "Arial" (font_size 8))(vertical))
                (line (pt 32 104)(pt 32 120))
        )
        (port
                (pt 64 120)
                (bidir)
                (text "db[7..0]" (rect 0 0 42 14)(font "Arial" (font_size 8)))
                (text "db[7..0]" (rect 55 57 69 99)(font "Arial" (font_size 8))(vertical))
                (line (pt 64 120)(pt 64 104)(line_width 3))
        )
        (drawing
                (rectangle (rect 16 16 80 104))
        )
        (rotate270)
        (fill (color 85 255 127))
)
(symbol
        (rect 760 560 856 680)
        (text "reg_latch" (rect 82 62 96 115)(font "Arial" (font_size 8))(vertical))
        (text "latch_wz_hi" (rect 4 57 16 112)(font "Arial" )(vertical))
        (port
                (pt 64 120)
                (input)
                (text "oe" (rect 0 0 14 14)(font "Arial" (font_size 8)))
                (text "oe" (rect 55 85 69 99)(font "Arial" (font_size 8))(vertical))
                (line (pt 64 120)(pt 64 104))
        )
        (port
                (pt 48 120)
                (input)
                (text "we" (rect 0 0 18 14)(font "Arial" (font_size 8)))
                (text "we" (rect 39 81 53 99)(font "Arial" (font_size 8))(vertical))
                (line (pt 48 120)(pt 48 104))
        )
        (port
                (pt 32 0)
                (input)
                (text "clk" (rect 0 0 15 14)(font "Arial" (font_size 8)))
                (text "clk" (rect 26 32 40 47)(font "Arial" (font_size 8))(vertical))
                (line (pt 32 16)(pt 32 0))
        )
        (port
                (pt 64 0)
                (bidir)
                (text "db[7..0]" (rect 0 0 42 14)(font "Arial" (font_size 8)))
                (text "db[7..0]" (rect 55 21 69 63)(font "Arial" (font_size 8))(vertical))
                (line (pt 64 0)(pt 64 16)(line_width 3))
        )
        (drawing
                (rectangle (rect 16 16 80 104))
        )
        (flipx_rotate90)
        (fill (color 85 255 127))
)
(symbol
        (rect 232 808 280 840)
        (text "NOT" (rect 1 0 21 10)(font "Arial" (font_size 6)))
        (text "inst11" (rect 3 21 32 33)(font "Arial" ))
        (port
                (pt 0 16)
                (input)
                (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible))
                (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible))
                (line (pt 0 16)(pt 13 16))
        )
        (port
                (pt 48 16)
                (output)
                (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 32 7 46 19)(font "Courier New" (bold))(invisible))
                (line (pt 39 16)(pt 48 16))
        )
        (drawing
                (line (pt 13 25)(pt 13 7))
                (line (pt 13 7)(pt 31 16))
                (line (pt 13 25)(pt 31 16))
                (circle (rect 31 12 39 20))
        )
)
(symbol
        (rect 2568 328 2616 360)
        (text "TRI" (rect 1 0 16 10)(font "Arial" (font_size 6)))
        (text "tri5" (rect 3 21 18 33)(font "Arial" ))
        (port
                (pt 0 16)
                (input)
                (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible))
                (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible))
                (line (pt 0 16)(pt 14 16))
        )
        (port
                (pt 24 0)
                (input)
                (text "OE" (rect 26 0 37 12)(font "Courier New" (bold))(invisible))
                (text "OE" (rect 26 0 37 12)(font "Courier New" (bold))(invisible))
                (line (pt 24 12)(pt 24 0))
        )
        (port
                (pt 48 16)
                (output)
                (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 32 7 46 19)(font "Courier New" (bold))(invisible))
                (line (pt 32 16)(pt 48 16))
        )
        (drawing
                (line (pt 14 25)(pt 14 7))
                (line (pt 14 25)(pt 32 16))
                (line (pt 14 7)(pt 32 16))
        )
)
(symbol
        (rect 2568 360 2616 392)
        (text "TRI" (rect 32 22 47 32)(font "Arial" (font_size 6)))
        (text "tri6" (rect 30 -1 45 11)(font "Arial" ))
        (port
                (pt 48 16)
                (input)
                (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible))
                (text "IN" (rect 35 13 46 25)(font "Courier New" (bold))(invisible))
                (line (pt 48 16)(pt 34 16))
        )
        (port
                (pt 24 32)
                (input)
                (text "OE" (rect 26 0 37 12)(font "Courier New" (bold))(invisible))
                (text "OE" (rect 11 20 22 32)(font "Courier New" (bold))(invisible))
                (line (pt 24 20)(pt 24 32))
        )
        (port
                (pt 0 16)
                (output)
                (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 2 13 16 25)(font "Courier New" (bold))(invisible))
                (line (pt 16 16)(pt 0 16))
        )
        (drawing
                (line (pt 34 7)(pt 34 25))
                (line (pt 34 7)(pt 16 16))
                (line (pt 34 25)(pt 16 16))
        )
        (rotate180)
)
(symbol
        (rect 2568 680 2616 712)
        (text "TRI" (rect 1 0 16 10)(font "Arial" (font_size 6)))
        (text "tri7" (rect 3 21 18 33)(font "Arial" ))
        (port
                (pt 0 16)
                (input)
                (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible))
                (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible))
                (line (pt 0 16)(pt 14 16))
        )
        (port
                (pt 24 0)
                (input)
                (text "OE" (rect 26 0 37 12)(font "Courier New" (bold))(invisible))
                (text "OE" (rect 26 0 37 12)(font "Courier New" (bold))(invisible))
                (line (pt 24 12)(pt 24 0))
        )
        (port
                (pt 48 16)
                (output)
                (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 32 7 46 19)(font "Courier New" (bold))(invisible))
                (line (pt 32 16)(pt 48 16))
        )
        (drawing
                (line (pt 14 25)(pt 14 7))
                (line (pt 14 25)(pt 32 16))
                (line (pt 14 7)(pt 32 16))
        )
)
(symbol
        (rect 2568 712 2616 744)
        (text "TRI" (rect 32 22 47 32)(font "Arial" (font_size 6)))
        (text "tri8" (rect 30 -1 45 11)(font "Arial" ))
        (port
                (pt 48 16)
                (input)
                (text "IN" (rect 2 7 13 19)(font "Courier New" (bold))(invisible))
                (text "IN" (rect 35 13 46 25)(font "Courier New" (bold))(invisible))
                (line (pt 48 16)(pt 34 16))
        )
        (port
                (pt 24 32)
                (input)
                (text "OE" (rect 26 0 37 12)(font "Courier New" (bold))(invisible))
                (text "OE" (rect 11 20 22 32)(font "Courier New" (bold))(invisible))
                (line (pt 24 20)(pt 24 32))
        )
        (port
                (pt 0 16)
                (output)
                (text "OUT" (rect 32 7 49 19)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 2 13 16 25)(font "Courier New" (bold))(invisible))
                (line (pt 16 16)(pt 0 16))
        )
        (drawing
                (line (pt 34 7)(pt 34 25))
                (line (pt 34 7)(pt 16 16))
                (line (pt 34 25)(pt 16 16))
        )
        (rotate180)
)
(symbol
        (rect 464 400 560 520)
        (text "reg_latch" (rect 82 5 96 58)(font "Arial" (font_size 8))(vertical))
        (text "latch_pc_lo" (rect 4 8 16 63)(font "Arial" )(vertical))
        (port
                (pt 64 0)
                (input)
                (text "oe" (rect 0 0 14 14)(font "Arial" (font_size 8)))
                (text "oe" (rect 55 21 69 35)(font "Arial" (font_size 8))(vertical))
                (line (pt 64 0)(pt 64 16))
        )
        (port
                (pt 48 0)
                (input)
                (text "we" (rect 0 0 18 14)(font "Arial" (font_size 8)))
                (text "we" (rect 39 21 53 39)(font "Arial" (font_size 8))(vertical))
                (line (pt 48 0)(pt 48 16))
        )
        (port
                (pt 32 120)
                (input)
                (text "clk" (rect 0 0 15 14)(font "Arial" (font_size 8)))
                (text "clk" (rect 26 73 40 88)(font "Arial" (font_size 8))(vertical))
                (line (pt 32 104)(pt 32 120))
        )
        (port
                (pt 64 120)
                (bidir)
                (text "db[7..0]" (rect 0 0 42 14)(font "Arial" (font_size 8)))
                (text "db[7..0]" (rect 55 57 69 99)(font "Arial" (font_size 8))(vertical))
                (line (pt 64 120)(pt 64 104)(line_width 3))
        )
        (drawing
                (rectangle (rect 16 16 80 104))
        )
        (rotate270)
        (fill (color 85 255 127))
)
(symbol
        (rect 320 400 416 520)
        (text "reg_latch" (rect 82 5 96 58)(font "Arial" (font_size 8))(vertical))
        (text "latch_ir_lo" (rect 4 8 16 57)(font "Arial" )(vertical))
        (port
                (pt 64 0)
                (input)
                (text "oe" (rect 0 0 14 14)(font "Arial" (font_size 8)))
                (text "oe" (rect 55 21 69 35)(font "Arial" (font_size 8))(vertical))
                (line (pt 64 0)(pt 64 16))
        )
        (port
                (pt 48 0)
                (input)
                (text "we" (rect 0 0 18 14)(font "Arial" (font_size 8)))
                (text "we" (rect 39 21 53 39)(font "Arial" (font_size 8))(vertical))
                (line (pt 48 0)(pt 48 16))
        )
        (port
                (pt 32 120)
                (input)
                (text "clk" (rect 0 0 15 14)(font "Arial" (font_size 8)))
                (text "clk" (rect 26 73 40 88)(font "Arial" (font_size 8))(vertical))
                (line (pt 32 104)(pt 32 120))
        )
        (port
                (pt 64 120)
                (bidir)
                (text "db[7..0]" (rect 0 0 42 14)(font "Arial" (font_size 8)))
                (text "db[7..0]" (rect 55 57 69 99)(font "Arial" (font_size 8))(vertical))
                (line (pt 64 120)(pt 64 104)(line_width 3))
        )
        (drawing
                (rectangle (rect 16 16 80 104))
        )
        (rotate270)
        (fill (color 85 255 127))
)
(connector
        (pt 216 248)
        (pt 216 232)
)
(connector
        (pt 232 248)
        (pt 216 248)
)
(connector
        (pt 216 824)
        (pt 232 824)
)
(connector
        (pt 216 840)
        (pt 216 824)
)
(connector
        (pt 616 344)
        (pt 632 344)
        (bus)
)
(connector
        (pt 616 376)
        (pt 632 376)
        (bus)
)
(connector
        (pt 616 696)
        (pt 632 696)
        (bus)
)
(connector
        (pt 616 728)
        (pt 632 728)
        (bus)
)
(connector
        (pt 656 392)
        (pt 656 400)
)
(connector
        (pt 328 696)
        (pt 328 728)
)
(connector
        (pt 472 696)
        (pt 472 728)
)
(connector
        (pt 768 696)
        (pt 768 728)
)
(connector
        (pt 912 696)
        (pt 912 728)
)
(connector
        (pt 1072 696)
        (pt 1072 728)
)
(connector
        (pt 1216 696)
        (pt 1216 728)
)
(connector
        (pt 1360 696)
        (pt 1360 728)
)
(connector
        (pt 1504 696)
        (pt 1504 728)
)
(connector
        (pt 1648 696)
        (pt 1648 728)
)
(connector
        (pt 1792 696)
        (pt 1792 728)
)
(connector
        (pt 1936 696)
        (pt 1936 728)
)
(connector
        (pt 2080 696)
        (pt 2080 728)
)
(connector
        (pt 2224 696)
        (pt 2224 728)
)
(connector
        (pt 2368 696)
        (pt 2368 728)
)
(connector
        (pt 424 360)
        (pt 424 528)
        (bus)
)
(connector
        (pt 568 360)
        (pt 568 528)
        (bus)
)
(connector
        (pt 568 528)
        (pt 528 528)
        (bus)
)
(connector
        (pt 424 712)
        (pt 424 544)
        (bus)
)
(connector
        (pt 568 712)
        (pt 568 544)
        (bus)
)
(connector
        (pt 864 360)
        (pt 864 528)
        (bus)
)
(connector
        (pt 864 712)
        (pt 864 544)
        (bus)
)
(connector
        (pt 968 528)
        (pt 1008 528)
        (bus)
)
(connector
        (pt 1008 360)
        (pt 1008 528)
        (bus)
)
(connector
        (pt 1008 712)
        (pt 1008 544)
        (bus)
)
(connector
        (pt 1128 528)
        (pt 1168 528)
        (bus)
)
(connector
        (pt 1168 360)
        (pt 1168 528)
        (bus)
)
(connector
        (pt 1168 712)
        (pt 1168 544)
        (bus)
)
(connector
        (pt 1272 528)
        (pt 1312 528)
        (bus)
)
(connector
        (pt 1312 360)
        (pt 1312 528)
        (bus)
)
(connector
        (pt 1312 712)
        (pt 1312 544)
        (bus)
)
(connector
        (pt 1416 528)
        (pt 1456 528)
        (bus)
)
(connector
        (pt 1456 360)
        (pt 1456 528)
        (bus)
)
(connector
        (pt 1456 712)
        (pt 1456 544)
        (bus)
)
(connector
        (pt 1560 528)
        (pt 1600 528)
        (bus)
)
(connector
        (pt 1600 360)
        (pt 1600 528)
        (bus)
)
(connector
        (pt 1600 712)
        (pt 1600 544)
        (bus)
)
(connector
        (pt 1704 528)
        (pt 1744 528)
        (bus)
)
(connector
        (pt 1744 360)
        (pt 1744 528)
        (bus)
)
(connector
        (pt 1744 712)
        (pt 1744 544)
        (bus)
)
(connector
        (pt 1848 528)
        (pt 1888 528)
        (bus)
)
(connector
        (pt 1888 360)
        (pt 1888 528)
        (bus)
)
(connector
        (pt 1888 712)
        (pt 1888 544)
        (bus)
)
(connector
        (pt 1992 528)
        (pt 2032 528)
        (bus)
)
(connector
        (pt 2032 360)
        (pt 2032 528)
        (bus)
)
(connector
        (pt 2032 712)
        (pt 2032 544)
        (bus)
)
(connector
        (pt 2136 528)
        (pt 2176 528)
        (bus)
)
(connector
        (pt 2176 360)
        (pt 2176 528)
        (bus)
)
(connector
        (pt 2176 712)
        (pt 2176 544)
        (bus)
)
(connector
        (pt 2280 528)
        (pt 2320 528)
        (bus)
)
(connector
        (pt 2320 360)
        (pt 2320 528)
        (bus)
)
(connector
        (pt 2320 712)
        (pt 2320 544)
        (bus)
)
(connector
        (pt 2424 528)
        (pt 2464 528)
        (bus)
)
(connector
        (pt 2464 360)
        (pt 2464 528)
        (bus)
)
(connector
        (pt 2464 712)
        (pt 2464 544)
        (bus)
)
(connector
        (pt 656 416)
        (pt 656 680)
)
(connector
        (pt 656 416)
        (pt 704 416)
)
(connector
        (pt 704 416)
        (pt 704 312)
)
(connector
        (pt 656 312)
        (pt 704 312)
)
(connector
        (pt 656 400)
        (pt 608 400)
)
(connector
        (pt 608 760)
        (pt 656 760)
)
(connector
        (pt 336 280)
        (pt 336 264)
)
(connector
        (pt 384 280)
        (pt 384 264)
)
(connector
        (pt 480 280)
        (pt 480 264)
)
(connector
        (pt 528 280)
        (pt 528 264)
)
(connector
        (pt 776 280)
        (pt 776 264)
)
(connector
        (pt 824 280)
        (pt 824 264)
)
(connector
        (pt 920 280)
        (pt 920 264)
)
(connector
        (pt 968 280)
        (pt 968 264)
)
(connector
        (pt 1080 280)
        (pt 1080 264)
)
(connector
        (pt 1128 280)
        (pt 1128 264)
)
(connector
        (pt 1224 280)
        (pt 1224 264)
)
(connector
        (pt 1272 280)
        (pt 1272 264)
)
(connector
        (pt 1368 280)
        (pt 1368 264)
)
(connector
        (pt 1416 280)
        (pt 1416 264)
)
(connector
        (pt 1512 280)
        (pt 1512 264)
)
(connector
        (pt 1560 280)
        (pt 1560 264)
)
(connector
        (pt 1656 280)
        (pt 1656 264)
)
(connector
        (pt 1704 280)
        (pt 1704 264)
)
(connector
        (pt 1800 280)
        (pt 1800 264)
)
(connector
        (pt 1848 280)
        (pt 1848 264)
)
(connector
        (pt 1944 280)
        (pt 1944 264)
)
(connector
        (pt 1992 280)
        (pt 1992 264)
)
(connector
        (pt 2088 280)
        (pt 2088 264)
)
(connector
        (pt 2136 280)
        (pt 2136 264)
)
(connector
        (pt 2232 280)
        (pt 2232 264)
)
(connector
        (pt 2280 280)
        (pt 2280 264)
)
(connector
        (pt 2376 280)
        (pt 2376 264)
)
(connector
        (pt 2424 280)
        (pt 2424 264)
)
(connector
        (pt 392 280)
        (pt 392 248)
)
(connector
        (pt 536 280)
        (pt 536 248)
)
(connector
        (pt 832 280)
        (pt 832 248)
)
(connector
        (pt 976 280)
        (pt 976 248)
)
(connector
        (pt 1136 280)
        (pt 1136 248)
)
(connector
        (pt 1280 280)
        (pt 1280 248)
)
(connector
        (pt 1424 280)
        (pt 1424 248)
)
(connector
        (pt 1568 280)
        (pt 1568 248)
)
(connector
        (pt 1712 280)
        (pt 1712 248)
)
(connector
        (pt 1856 280)
        (pt 1856 248)
)
(connector
        (pt 2000 280)
        (pt 2000 248)
)
(connector
        (pt 2144 280)
        (pt 2144 248)
)
(connector
        (pt 2288 280)
        (pt 2288 248)
)
(connector
        (pt 2432 280)
        (pt 2432 248)
)
(connector
        (pt 2480 824)
        (pt 2480 248)
)
(connector
        (pt 328 280)
        (pt 328 232)
)
(connector
        (pt 472 280)
        (pt 472 232)
)
(connector
        (pt 768 280)
        (pt 768 232)
)
(connector
        (pt 912 280)
        (pt 912 232)
)
(connector
        (pt 1072 280)
        (pt 1072 232)
)
(connector
        (pt 1120 280)
        (pt 1120 216)
)
(connector
        (pt 1216 280)
        (pt 1216 232)
)
(connector
        (pt 1360 280)
        (pt 1360 232)
)
(connector
        (pt 1504 280)
        (pt 1504 232)
)
(connector
        (pt 1648 280)
        (pt 1648 232)
)
(connector
        (pt 1792 280)
        (pt 1792 232)
)
(connector
        (pt 1936 280)
        (pt 1936 232)
)
(connector
        (pt 2080 280)
        (pt 2080 232)
)
(connector
        (pt 2224 280)
        (pt 2224 232)
)
(connector
        (pt 2368 280)
        (pt 2368 232)
)
(connector
        (pt 376 280)
        (pt 376 216)
)
(connector
        (pt 520 280)
        (pt 520 216)
)
(connector
        (pt 816 280)
        (pt 816 216)
)
(connector
        (pt 960 280)
        (pt 960 216)
)
(connector
        (pt 1264 280)
        (pt 1264 216)
)
(connector
        (pt 1408 280)
        (pt 1408 216)
)
(connector
        (pt 1552 280)
        (pt 1552 216)
)
(connector
        (pt 1696 280)
        (pt 1696 216)
)
(connector
        (pt 1840 280)
        (pt 1840 216)
)
(connector
        (pt 1984 280)
        (pt 1984 216)
)
(connector
        (pt 2128 280)
        (pt 2128 216)
)
(connector
        (pt 2272 280)
        (pt 2272 216)
)
(connector
        (pt 2416 280)
        (pt 2416 216)
)
(connector
        (pt 2496 840)
        (pt 2496 232)
)
(connector
        (pt 296 808)
        (pt 296 216)
)
(connector
        (pt 440 808)
        (pt 440 216)
)
(connector
        (pt 736 808)
        (pt 736 216)
)
(connector
        (pt 880 808)
        (pt 880 216)
)
(connector
        (pt 1040 808)
        (pt 1040 216)
)
(connector
        (pt 1184 808)
        (pt 1184 216)
)
(connector
        (pt 1328 808)
        (pt 1328 216)
)
(connector
        (pt 1472 808)
        (pt 1472 216)
)
(connector
        (pt 1616 808)
        (pt 1616 216)
)
(connector
        (pt 1760 808)
        (pt 1760 216)
)
(connector
        (pt 1904 808)
        (pt 1904 216)
)
(connector
        (pt 2048 808)
        (pt 2048 216)
)
(connector
        (pt 2192 808)
        (pt 2192 216)
)
(connector
        (pt 2336 808)
        (pt 2336 216)
)
(connector
        (pt 376 792)
        (pt 376 808)
)
(connector
        (pt 384 792)
        (pt 384 856)
)
(connector
        (pt 392 792)
        (pt 392 824)
)
(connector
        (pt 520 792)
        (pt 520 808)
)
(connector
        (pt 528 792)
        (pt 528 856)
)
(connector
        (pt 536 792)
        (pt 536 824)
)
(connector
        (pt 1120 792)
        (pt 1120 808)
)
(connector
        (pt 1128 792)
        (pt 1128 856)
)
(connector
        (pt 1136 792)
        (pt 1136 824)
)
(connector
        (pt 1264 792)
        (pt 1264 808)
)
(connector
        (pt 1272 792)
        (pt 1272 856)
)
(connector
        (pt 1280 792)
        (pt 1280 824)
)
(connector
        (pt 1408 792)
        (pt 1408 808)
)
(connector
        (pt 1416 792)
        (pt 1416 856)
)
(connector
        (pt 1424 792)
        (pt 1424 824)
)
(connector
        (pt 1552 792)
        (pt 1552 808)
)
(connector
        (pt 1560 792)
        (pt 1560 856)
)
(connector
        (pt 1568 792)
        (pt 1568 824)
)
(connector
        (pt 1696 792)
        (pt 1696 808)
)
(connector
        (pt 1704 792)
        (pt 1704 856)
)
(connector
        (pt 1712 792)
        (pt 1712 824)
)
(connector
        (pt 1840 792)
        (pt 1840 808)
)
(connector
        (pt 1848 792)
        (pt 1848 856)
)
(connector
        (pt 1856 792)
        (pt 1856 824)
)
(connector
        (pt 1984 792)
        (pt 1984 808)
)
(connector
        (pt 1992 792)
        (pt 1992 856)
)
(connector
        (pt 2000 792)
        (pt 2000 824)
)
(connector
        (pt 2128 792)
        (pt 2128 808)
)
(connector
        (pt 2136 792)
        (pt 2136 856)
)
(connector
        (pt 2144 792)
        (pt 2144 824)
)
(connector
        (pt 2272 792)
        (pt 2272 808)
)
(connector
        (pt 2280 792)
        (pt 2280 856)
)
(connector
        (pt 2288 792)
        (pt 2288 824)
)
(connector
        (pt 2416 792)
        (pt 2416 808)
)
(connector
        (pt 2424 792)
        (pt 2424 856)
)
(connector
        (pt 2432 792)
        (pt 2432 824)
)
(connector
        (pt 816 792)
        (pt 816 808)
)
(connector
        (pt 824 792)
        (pt 824 856)
)
(connector
        (pt 832 792)
        (pt 832 824)
)
(connector
        (pt 960 792)
        (pt 960 808)
)
(connector
        (pt 968 792)
        (pt 968 856)
)
(connector
        (pt 976 792)
        (pt 976 824)
)
(connector
        (pt 328 344)
        (pt 328 376)
)
(connector
        (pt 472 344)
        (pt 472 376)
)
(connector
        (pt 768 344)
        (pt 768 376)
)
(connector
        (pt 912 344)
        (pt 912 376)
)
(connector
        (pt 1072 344)
        (pt 1072 376)
)
(connector
        (pt 1216 344)
        (pt 1216 376)
)
(connector
        (pt 1360 344)
        (pt 1360 376)
)
(connector
        (pt 1504 344)
        (pt 1504 376)
)
(connector
        (pt 1648 344)
        (pt 1648 376)
)
(connector
        (pt 1792 344)
        (pt 1792 376)
)
(connector
        (pt 1936 344)
        (pt 1936 376)
)
(connector
        (pt 2080 344)
        (pt 2080 376)
)
(connector
        (pt 2224 344)
        (pt 2224 376)
)
(connector
        (pt 2368 344)
        (pt 2368 376)
)
(connector
        (pt 760 792)
        (pt 760 808)
)
(connector
        (pt 768 792)
        (pt 768 840)
)
(connector
        (pt 776 792)
        (pt 776 856)
)
(connector
        (pt 904 792)
        (pt 904 808)
)
(connector
        (pt 912 792)
        (pt 912 840)
)
(connector
        (pt 920 792)
        (pt 920 856)
)
(connector
        (pt 1064 792)
        (pt 1064 808)
)
(connector
        (pt 1072 792)
        (pt 1072 840)
)
(connector
        (pt 1080 792)
        (pt 1080 856)
)
(connector
        (pt 1208 792)
        (pt 1208 808)
)
(connector
        (pt 1216 792)
        (pt 1216 840)
)
(connector
        (pt 1224 792)
        (pt 1224 856)
)
(connector
        (pt 1352 792)
        (pt 1352 808)
)
(connector
        (pt 1360 792)
        (pt 1360 840)
)
(connector
        (pt 1368 792)
        (pt 1368 856)
)
(connector
        (pt 1496 792)
        (pt 1496 808)
)
(connector
        (pt 1504 792)
        (pt 1504 840)
)
(connector
        (pt 1512 792)
        (pt 1512 856)
)
(connector
        (pt 1640 792)
        (pt 1640 808)
)
(connector
        (pt 1648 792)
        (pt 1648 840)
)
(connector
        (pt 1656 792)
        (pt 1656 856)
)
(connector
        (pt 1784 792)
        (pt 1784 808)
)
(connector
        (pt 1792 792)
        (pt 1792 840)
)
(connector
        (pt 1800 792)
        (pt 1800 856)
)
(connector
        (pt 1928 792)
        (pt 1928 808)
)
(connector
        (pt 1936 792)
        (pt 1936 840)
)
(connector
        (pt 1944 792)
        (pt 1944 856)
)
(connector
        (pt 2072 792)
        (pt 2072 808)
)
(connector
        (pt 2080 792)
        (pt 2080 840)
)
(connector
        (pt 2088 792)
        (pt 2088 856)
)
(connector
        (pt 2216 792)
        (pt 2216 808)
)
(connector
        (pt 2224 792)
        (pt 2224 840)
)
(connector
        (pt 2232 792)
        (pt 2232 856)
)
(connector
        (pt 2360 792)
        (pt 2360 808)
)
(connector
        (pt 2368 792)
        (pt 2368 840)
)
(connector
        (pt 2376 792)
        (pt 2376 856)
)
(connector
        (pt 320 792)
        (pt 320 808)
)
(connector
        (pt 328 792)
        (pt 328 840)
)
(connector
        (pt 336 792)
        (pt 336 856)
)
(connector
        (pt 464 792)
        (pt 464 808)
)
(connector
        (pt 472 792)
        (pt 472 840)
)
(connector
        (pt 480 792)
        (pt 480 856)
)
(connector
        (pt 656 744)
        (pt 656 760)
)
(connector
        (pt 328 696)
        (pt 368 696)
)
(connector
        (pt 424 544)
        (pt 384 544)
        (bus)
)
(connector
        (pt 384 544)
        (pt 384 560)
        (bus)
)
(connector
        (pt 424 528)
        (pt 384 528)
        (bus)
)
(connector
        (pt 328 376)
        (pt 368 376)
)
(connector
        (pt 384 344)
        (pt 384 400)
)
(connector
        (pt 368 376)
        (pt 368 400)
)
(connector
        (pt 472 376)
        (pt 512 376)
)
(connector
        (pt 528 344)
        (pt 528 400)
)
(connector
        (pt 512 376)
        (pt 512 400)
)
(connector
        (pt 472 696)
        (pt 512 696)
)
(connector
        (pt 568 544)
        (pt 528 544)
        (bus)
)
(connector
        (pt 528 544)
        (pt 528 560)
        (bus)
)
(connector
        (pt 864 528)
        (pt 824 528)
        (bus)
)
(connector
        (pt 768 376)
        (pt 808 376)
)
(connector
        (pt 824 344)
        (pt 824 400)
)
(connector
        (pt 808 376)
        (pt 808 400)
)
(connector
        (pt 912 376)
        (pt 952 376)
)
(connector
        (pt 968 344)
        (pt 968 400)
)
(connector
        (pt 952 376)
        (pt 952 400)
)
(connector
        (pt 1072 376)
        (pt 1112 376)
)
(connector
        (pt 1128 344)
        (pt 1128 400)
)
(connector
        (pt 1112 376)
        (pt 1112 400)
)
(connector
        (pt 1216 376)
        (pt 1256 376)
)
(connector
        (pt 1272 344)
        (pt 1272 400)
)
(connector
        (pt 1256 376)
        (pt 1256 400)
)
(connector
        (pt 1360 376)
        (pt 1400 376)
)
(connector
        (pt 1416 344)
        (pt 1416 400)
)
(connector
        (pt 1400 376)
        (pt 1400 400)
)
(connector
        (pt 1504 376)
        (pt 1544 376)
)
(connector
        (pt 1560 344)
        (pt 1560 400)
)
(connector
        (pt 1544 376)
        (pt 1544 400)
)
(connector
        (pt 1648 376)
        (pt 1688 376)
)
(connector
        (pt 1704 344)
        (pt 1704 400)
)
(connector
        (pt 1688 376)
        (pt 1688 400)
)
(connector
        (pt 1792 376)
        (pt 1832 376)
)
(connector
        (pt 1848 344)
        (pt 1848 400)
)
(connector
        (pt 1832 376)
        (pt 1832 400)
)
(connector
        (pt 1936 376)
        (pt 1976 376)
)
(connector
        (pt 1992 344)
        (pt 1992 400)
)
(connector
        (pt 1976 376)
        (pt 1976 400)
)
(connector
        (pt 2080 376)
        (pt 2120 376)
)
(connector
        (pt 2136 344)
        (pt 2136 400)
)
(connector
        (pt 2120 376)
        (pt 2120 400)
)
(connector
        (pt 2224 376)
        (pt 2264 376)
)
(connector
        (pt 2280 344)
        (pt 2280 400)
)
(connector
        (pt 2264 376)
        (pt 2264 400)
)
(connector
        (pt 2368 376)
        (pt 2408 376)
)
(connector
        (pt 2424 344)
        (pt 2424 400)
)
(connector
        (pt 2408 376)
        (pt 2408 400)
)
(connector
        (pt 2368 696)
        (pt 2408 696)
)
(connector
        (pt 2464 544)
        (pt 2424 544)
        (bus)
)
(connector
        (pt 2424 544)
        (pt 2424 560)
        (bus)
)
(connector
        (pt 2224 696)
        (pt 2264 696)
)
(connector
        (pt 2320 544)
        (pt 2280 544)
        (bus)
)
(connector
        (pt 2280 544)
        (pt 2280 560)
        (bus)
)
(connector
        (pt 2080 696)
        (pt 2120 696)
)
(connector
        (pt 2176 544)
        (pt 2136 544)
        (bus)
)
(connector
        (pt 2136 544)
        (pt 2136 560)
        (bus)
)
(connector
        (pt 1936 696)
        (pt 1976 696)
)
(connector
        (pt 2032 544)
        (pt 1992 544)
        (bus)
)
(connector
        (pt 1992 544)
        (pt 1992 560)
        (bus)
)
(connector
        (pt 1792 696)
        (pt 1832 696)
)
(connector
        (pt 1888 544)
        (pt 1848 544)
        (bus)
)
(connector
        (pt 1848 544)
        (pt 1848 560)
        (bus)
)
(connector
        (pt 1648 696)
        (pt 1688 696)
)
(connector
        (pt 1744 544)
        (pt 1704 544)
        (bus)
)
(connector
        (pt 1704 544)
        (pt 1704 560)
        (bus)
)
(connector
        (pt 1504 696)
        (pt 1544 696)
)
(connector
        (pt 1600 544)
        (pt 1560 544)
        (bus)
)
(connector
        (pt 1560 544)
        (pt 1560 560)
        (bus)
)
(connector
        (pt 1360 696)
        (pt 1400 696)
)
(connector
        (pt 1456 544)
        (pt 1416 544)
        (bus)
)
(connector
        (pt 1416 544)
        (pt 1416 560)
        (bus)
)
(connector
        (pt 1216 696)
        (pt 1256 696)
)
(connector
        (pt 1312 544)
        (pt 1272 544)
        (bus)
)
(connector
        (pt 1272 544)
        (pt 1272 560)
        (bus)
)
(connector
        (pt 1072 696)
        (pt 1112 696)
)
(connector
        (pt 1168 544)
        (pt 1128 544)
        (bus)
)
(connector
        (pt 1128 544)
        (pt 1128 560)
        (bus)
)
(connector
        (pt 912 696)
        (pt 952 696)
)
(connector
        (pt 1008 544)
        (pt 968 544)
        (bus)
)
(connector
        (pt 968 544)
        (pt 968 560)
        (bus)
)
(connector
        (pt 768 696)
        (pt 808 696)
)
(connector
        (pt 864 544)
        (pt 824 544)
        (bus)
)
(connector
        (pt 824 544)
        (pt 824 560)
        (bus)
)
(connector
        (pt 920 264)
        (pt 968 264)
)
(connector
        (pt 968 264)
        (pt 1080 264)
)
(connector
        (pt 1080 264)
        (pt 1128 264)
)
(connector
        (pt 1128 264)
        (pt 1224 264)
)
(connector
        (pt 1224 264)
        (pt 1272 264)
)
(connector
        (pt 1272 264)
        (pt 1368 264)
)
(connector
        (pt 1368 264)
        (pt 1416 264)
)
(connector
        (pt 1416 264)
        (pt 1512 264)
)
(connector
        (pt 1512 264)
        (pt 1560 264)
)
(connector
        (pt 1560 264)
        (pt 1656 264)
)
(connector
        (pt 976 248)
        (pt 1136 248)
)
(connector
        (pt 1136 248)
        (pt 1280 248)
)
(connector
        (pt 1280 248)
        (pt 1424 248)
)
(connector
        (pt 1424 248)
        (pt 1568 248)
)
(connector
        (pt 1568 248)
        (pt 1712 248)
)
(connector
        (pt 1712 248)
        (pt 1856 248)
)
(connector
        (pt 1856 248)
        (pt 2000 248)
)
(connector
        (pt 2000 248)
        (pt 2144 248)
)
(connector
        (pt 2144 248)
        (pt 2288 248)
)
(connector
        (pt 2288 248)
        (pt 2432 248)
)
(connector
        (pt 320 208)
        (pt 320 216)
)
(connector
        (pt 320 216)
        (pt 320 280)
)
(connector
        (pt 296 216)
        (pt 320 216)
)
(connector
        (pt 320 216)
        (pt 376 216)
)
(connector
        (pt 464 208)
        (pt 464 216)
)
(connector
        (pt 464 216)
        (pt 464 280)
)
(connector
        (pt 440 216)
        (pt 464 216)
)
(connector
        (pt 464 216)
        (pt 520 216)
)
(connector
        (pt 760 208)
        (pt 760 216)
)
(connector
        (pt 760 216)
        (pt 760 280)
)
(connector
        (pt 736 216)
        (pt 760 216)
)
(connector
        (pt 760 216)
        (pt 816 216)
)
(connector
        (pt 904 208)
        (pt 904 216)
)
(connector
        (pt 904 216)
        (pt 904 280)
)
(connector
        (pt 880 216)
        (pt 904 216)
)
(connector
        (pt 904 216)
        (pt 960 216)
)
(connector
        (pt 1064 208)
        (pt 1064 216)
)
(connector
        (pt 1064 216)
        (pt 1064 280)
)
(connector
        (pt 1040 216)
        (pt 1064 216)
)
(connector
        (pt 1064 216)
        (pt 1120 216)
)
(connector
        (pt 1208 208)
        (pt 1208 216)
)
(connector
        (pt 1208 216)
        (pt 1208 280)
)
(connector
        (pt 1184 216)
        (pt 1208 216)
)
(connector
        (pt 1208 216)
        (pt 1264 216)
)
(connector
        (pt 1352 208)
        (pt 1352 216)
)
(connector
        (pt 1352 216)
        (pt 1352 280)
)
(connector
        (pt 1328 216)
        (pt 1352 216)
)
(connector
        (pt 1352 216)
        (pt 1408 216)
)
(connector
        (pt 1496 208)
        (pt 1496 216)
)
(connector
        (pt 1496 216)
        (pt 1496 280)
)
(connector
        (pt 1472 216)
        (pt 1496 216)
)
(connector
        (pt 1496 216)
        (pt 1552 216)
)
(connector
        (pt 1640 208)
        (pt 1640 216)
)
(connector
        (pt 1640 216)
        (pt 1640 280)
)
(connector
        (pt 1616 216)
        (pt 1640 216)
)
(connector
        (pt 1640 216)
        (pt 1696 216)
)
(connector
        (pt 1784 208)
        (pt 1784 216)
)
(connector
        (pt 1784 216)
        (pt 1784 280)
)
(connector
        (pt 1760 216)
        (pt 1784 216)
)
(connector
        (pt 1784 216)
        (pt 1840 216)
)
(connector
        (pt 1928 208)
        (pt 1928 216)
)
(connector
        (pt 1928 216)
        (pt 1928 280)
)
(connector
        (pt 1904 216)
        (pt 1928 216)
)
(connector
        (pt 1928 216)
        (pt 1984 216)
)
(connector
        (pt 2072 208)
        (pt 2072 216)
)
(connector
        (pt 2072 216)
        (pt 2072 280)
)
(connector
        (pt 2048 216)
        (pt 2072 216)
)
(connector
        (pt 2072 216)
        (pt 2128 216)
)
(connector
        (pt 2216 208)
        (pt 2216 216)
)
(connector
        (pt 2216 216)
        (pt 2216 280)
)
(connector
        (pt 2192 216)
        (pt 2216 216)
)
(connector
        (pt 2216 216)
        (pt 2272 216)
)
(connector
        (pt 2360 208)
        (pt 2360 216)
)
(connector
        (pt 2360 216)
        (pt 2360 280)
)
(connector
        (pt 2336 216)
        (pt 2360 216)
)
(connector
        (pt 2360 216)
        (pt 2416 216)
)
(connector
        (pt 208 264)
        (pt 336 264)
)
(connector
        (pt 336 264)
        (pt 384 264)
)
(connector
        (pt 384 264)
        (pt 480 264)
)
(connector
        (pt 480 264)
        (pt 528 264)
)
(connector
        (pt 528 264)
        (pt 776 264)
)
(connector
        (pt 776 264)
        (pt 824 264)
)
(connector
        (pt 208 360)
        (pt 424 360)
        (bus)
)
(connector
        (pt 616 344)
        (pt 616 360)
        (bus)
)
(connector
        (pt 616 360)
        (pt 616 376)
        (bus)
)
(connector
        (pt 424 360)
        (pt 568 360)
        (bus)
)
(connector
        (pt 568 360)
        (pt 616 360)
        (bus)
)
(connector
        (pt 208 712)
        (pt 424 712)
        (bus)
)
(connector
        (pt 616 696)
        (pt 616 712)
        (bus)
)
(connector
        (pt 616 712)
        (pt 616 728)
        (bus)
)
(connector
        (pt 424 712)
        (pt 568 712)
        (bus)
)
(connector
        (pt 568 712)
        (pt 616 712)
        (bus)
)
(connector
        (pt 976 824)
        (pt 1136 824)
)
(connector
        (pt 1136 824)
        (pt 1280 824)
)
(connector
        (pt 1280 824)
        (pt 1424 824)
)
(connector
        (pt 1424 824)
        (pt 1568 824)
)
(connector
        (pt 1568 824)
        (pt 1712 824)
)
(connector
        (pt 1712 824)
        (pt 1856 824)
)
(connector
        (pt 1856 824)
        (pt 2000 824)
)
(connector
        (pt 2000 824)
        (pt 2144 824)
)
(connector
        (pt 2144 824)
        (pt 2288 824)
)
(connector
        (pt 2288 824)
        (pt 2432 824)
)
(connector
        (pt 2432 824)
        (pt 2480 824)
)
(connector
        (pt 736 808)
        (pt 760 808)
)
(connector
        (pt 760 808)
        (pt 816 808)
)
(connector
        (pt 880 808)
        (pt 904 808)
)
(connector
        (pt 904 808)
        (pt 960 808)
)
(connector
        (pt 1040 808)
        (pt 1064 808)
)
(connector
        (pt 1064 808)
        (pt 1120 808)
)
(connector
        (pt 1184 808)
        (pt 1208 808)
)
(connector
        (pt 1208 808)
        (pt 1264 808)
)
(connector
        (pt 920 856)
        (pt 968 856)
)
(connector
        (pt 968 856)
        (pt 1080 856)
)
(connector
        (pt 1328 808)
        (pt 1352 808)
)
(connector
        (pt 1352 808)
        (pt 1408 808)
)
(connector
        (pt 1080 856)
        (pt 1128 856)
)
(connector
        (pt 1128 856)
        (pt 1224 856)
)
(connector
        (pt 1472 808)
        (pt 1496 808)
)
(connector
        (pt 1496 808)
        (pt 1552 808)
)
(connector
        (pt 912 840)
        (pt 1072 840)
)
(connector
        (pt 1224 856)
        (pt 1272 856)
)
(connector
        (pt 1272 856)
        (pt 1368 856)
)
(connector
        (pt 1616 808)
        (pt 1640 808)
)
(connector
        (pt 1640 808)
        (pt 1696 808)
)
(connector
        (pt 1072 840)
        (pt 1216 840)
)
(connector
        (pt 1368 856)
        (pt 1416 856)
)
(connector
        (pt 1416 856)
        (pt 1512 856)
)
(connector
        (pt 1760 808)
        (pt 1784 808)
)
(connector
        (pt 1784 808)
        (pt 1840 808)
)
(connector
        (pt 1216 840)
        (pt 1360 840)
)
(connector
        (pt 1512 856)
        (pt 1560 856)
)
(connector
        (pt 1560 856)
        (pt 1656 856)
)
(connector
        (pt 1904 808)
        (pt 1928 808)
)
(connector
        (pt 1928 808)
        (pt 1984 808)
)
(connector
        (pt 1360 840)
        (pt 1504 840)
)
(connector
        (pt 1656 856)
        (pt 1704 856)
)
(connector
        (pt 1704 856)
        (pt 1800 856)
)
(connector
        (pt 2048 808)
        (pt 2072 808)
)
(connector
        (pt 2072 808)
        (pt 2128 808)
)
(connector
        (pt 1504 840)
        (pt 1648 840)
)
(connector
        (pt 1800 856)
        (pt 1848 856)
)
(connector
        (pt 1848 856)
        (pt 1944 856)
)
(connector
        (pt 2192 808)
        (pt 2216 808)
)
(connector
        (pt 2216 808)
        (pt 2272 808)
)
(connector
        (pt 1648 840)
        (pt 1792 840)
)
(connector
        (pt 1944 856)
        (pt 1992 856)
)
(connector
        (pt 1992 856)
        (pt 2088 856)
)
(connector
        (pt 2336 808)
        (pt 2360 808)
)
(connector
        (pt 2360 808)
        (pt 2416 808)
)
(connector
        (pt 1792 840)
        (pt 1936 840)
)
(connector
        (pt 1936 840)
        (pt 2080 840)
)
(connector
        (pt 2080 840)
        (pt 2224 840)
)
(connector
        (pt 2224 840)
        (pt 2368 840)
)
(connector
        (pt 2368 840)
        (pt 2496 840)
)
(connector
        (pt 2088 856)
        (pt 2136 856)
)
(connector
        (pt 2136 856)
        (pt 2232 856)
)
(connector
        (pt 2232 856)
        (pt 2280 856)
)
(connector
        (pt 2280 856)
        (pt 2376 856)
)
(connector
        (pt 296 808)
        (pt 320 808)
)
(connector
        (pt 320 808)
        (pt 376 808)
)
(connector
        (pt 440 808)
        (pt 464 808)
)
(connector
        (pt 464 808)
        (pt 520 808)
)
(connector
        (pt 208 856)
        (pt 336 856)
)
(connector
        (pt 336 856)
        (pt 384 856)
)
(connector
        (pt 384 856)
        (pt 480 856)
)
(connector
        (pt 480 856)
        (pt 528 856)
)
(connector
        (pt 528 856)
        (pt 776 856)
)
(connector
        (pt 776 856)
        (pt 824 856)
)
(connector
        (pt 608 208)
        (pt 608 400)
)
(connector
        (pt 608 400)
        (pt 608 760)
)
(connector
        (pt 656 208)
        (pt 656 312)
)
(connector
        (pt 656 312)
        (pt 656 328)
)
(connector
        (pt 208 232)
        (pt 216 232)
)
(connector
        (pt 216 232)
        (pt 328 232)
)
(connector
        (pt 328 232)
        (pt 472 232)
)
(connector
        (pt 472 232)
        (pt 768 232)
)
(connector
        (pt 280 248)
        (pt 392 248)
)
(connector
        (pt 392 248)
        (pt 536 248)
)
(connector
        (pt 536 248)
        (pt 832 248)
)
(connector
        (pt 280 824)
        (pt 392 824)
)
(connector
        (pt 392 824)
        (pt 536 824)
)
(connector
        (pt 536 824)
        (pt 832 824)
)
(connector
        (pt 208 840)
        (pt 216 840)
)
(connector
        (pt 216 840)
        (pt 328 840)
)
(connector
        (pt 328 840)
        (pt 472 840)
)
(connector
        (pt 472 840)
        (pt 768 840)
)
(connector
        (pt 680 344)
        (pt 696 344)
        (bus)
)
(connector
        (pt 680 376)
        (pt 696 376)
        (bus)
)
(connector
        (pt 680 696)
        (pt 696 696)
        (bus)
)
(connector
        (pt 680 728)
        (pt 696 728)
        (bus)
)
(connector
        (pt 696 344)
        (pt 696 360)
        (bus)
)
(connector
        (pt 696 360)
        (pt 696 376)
        (bus)
)
(connector
        (pt 696 360)
        (pt 864 360)
        (bus)
)
(connector
        (pt 864 360)
        (pt 1008 360)
        (bus)
)
(connector
        (pt 1008 360)
        (pt 1168 360)
        (bus)
)
(connector
        (pt 1168 360)
        (pt 1312 360)
        (bus)
)
(connector
        (pt 1312 360)
        (pt 1456 360)
        (bus)
)
(connector
        (pt 1456 360)
        (pt 1600 360)
        (bus)
)
(connector
        (pt 1600 360)
        (pt 1744 360)
        (bus)
)
(connector
        (pt 1744 360)
        (pt 1888 360)
        (bus)
)
(connector
        (pt 1888 360)
        (pt 2032 360)
        (bus)
)
(connector
        (pt 2032 360)
        (pt 2176 360)
        (bus)
)
(connector
        (pt 2176 360)
        (pt 2320 360)
        (bus)
)
(connector
        (pt 696 696)
        (pt 696 712)
        (bus)
)
(connector
        (pt 696 712)
        (pt 696 728)
        (bus)
)
(connector
        (pt 696 712)
        (pt 864 712)
        (bus)
)
(connector
        (pt 864 712)
        (pt 1008 712)
        (bus)
)
(connector
        (pt 1008 712)
        (pt 1168 712)
        (bus)
)
(connector
        (pt 1168 712)
        (pt 1312 712)
        (bus)
)
(connector
        (pt 1312 712)
        (pt 1456 712)
        (bus)
)
(connector
        (pt 1456 712)
        (pt 1600 712)
        (bus)
)
(connector
        (pt 1600 712)
        (pt 1744 712)
        (bus)
)
(connector
        (pt 1744 712)
        (pt 1888 712)
        (bus)
)
(connector
        (pt 1888 712)
        (pt 2032 712)
        (bus)
)
(connector
        (pt 2032 712)
        (pt 2176 712)
        (bus)
)
(connector
        (pt 2176 712)
        (pt 2320 712)
        (bus)
)
(connector
        (pt 912 232)
        (pt 1072 232)
)
(connector
        (pt 1072 232)
        (pt 1216 232)
)
(connector
        (pt 1216 232)
        (pt 1360 232)
)
(connector
        (pt 1360 232)
        (pt 1504 232)
)
(connector
        (pt 1504 232)
        (pt 1648 232)
)
(connector
        (pt 1648 232)
        (pt 1792 232)
)
(connector
        (pt 1792 232)
        (pt 1936 232)
)
(connector
        (pt 1936 232)
        (pt 2080 232)
)
(connector
        (pt 2080 232)
        (pt 2224 232)
)
(connector
        (pt 2224 232)
        (pt 2368 232)
)
(connector
        (pt 1656 264)
        (pt 1704 264)
)
(connector
        (pt 1704 264)
        (pt 1800 264)
)
(connector
        (pt 1800 264)
        (pt 1848 264)
)
(connector
        (pt 1848 264)
        (pt 1944 264)
)
(connector
        (pt 1944 264)
        (pt 1992 264)
)
(connector
        (pt 1992 264)
        (pt 2088 264)
)
(connector
        (pt 2088 264)
        (pt 2136 264)
)
(connector
        (pt 2136 264)
        (pt 2232 264)
)
(connector
        (pt 2232 264)
        (pt 2280 264)
)
(connector
        (pt 2280 264)
        (pt 2376 264)
)
(connector
        (pt 2648 248)
        (pt 2656 248)
)
(connector
        (pt 2656 248)
        (pt 2656 232)
)
(connector
        (pt 2368 232)
        (pt 2496 232)
)
(connector
        (pt 2432 248)
        (pt 2480 248)
)
(connector
        (pt 2376 264)
        (pt 2424 264)
)
(connector
        (pt 2496 232)
        (pt 2656 232)
)
(connector
        (pt 2480 248)
        (pt 2600 248)
)
(connector
        (pt 2424 264)
        (pt 2672 264)
)
(connector
        (pt 2656 232)
        (pt 2672 232)
)
(connector
        (pt 2376 856)
        (pt 2424 856)
)
(connector
        (pt 2424 856)
        (pt 2672 856)
)
(connector
        (pt 2592 392)
        (pt 2592 400)
)
(connector
        (pt 2592 416)
        (pt 2592 680)
)
(connector
        (pt 2592 744)
        (pt 2592 760)
)
(connector
        (pt 2616 344)
        (pt 2632 344)
        (bus)
)
(connector
        (pt 2616 376)
        (pt 2632 376)
        (bus)
)
(connector
        (pt 2616 696)
        (pt 2632 696)
        (bus)
)
(connector
        (pt 2616 728)
        (pt 2632 728)
        (bus)
)
(connector
        (pt 2592 416)
        (pt 2640 416)
)
(connector
        (pt 2592 760)
        (pt 2528 760)
)
(connector
        (pt 2672 360)
        (pt 2632 360)
        (bus)
)
(connector
        (pt 2672 712)
        (pt 2632 712)
        (bus)
)
(connector
        (pt 2552 344)
        (pt 2552 360)
        (bus)
)
(connector
        (pt 2552 360)
        (pt 2552 376)
        (bus)
)
(connector
        (pt 2320 360)
        (pt 2464 360)
        (bus)
)
(connector
        (pt 2464 360)
        (pt 2552 360)
        (bus)
)
(connector
        (pt 2552 696)
        (pt 2552 712)
        (bus)
)
(connector
        (pt 2552 712)
        (pt 2552 728)
        (bus)
)
(connector
        (pt 2320 712)
        (pt 2464 712)
        (bus)
)
(connector
        (pt 2464 712)
        (pt 2552 712)
        (bus)
)
(connector
        (pt 2544 400)
        (pt 2592 400)
)
(connector
        (pt 2632 344)
        (pt 2632 360)
        (bus)
)
(connector
        (pt 2632 360)
        (pt 2632 376)
        (bus)
)
(connector
        (pt 2632 696)
        (pt 2632 712)
        (bus)
)
(connector
        (pt 2632 712)
        (pt 2632 728)
        (bus)
)
(connector
        (pt 2552 344)
        (pt 2568 344)
        (bus)
)
(connector
        (pt 2552 376)
        (pt 2568 376)
        (bus)
)
(connector
        (pt 2552 696)
        (pt 2568 696)
        (bus)
)
(connector
        (pt 2552 728)
        (pt 2568 728)
        (bus)
)
(connector
        (pt 2592 328)
        (pt 2592 320)
)
(connector
        (pt 2592 320)
        (pt 2568 320)
)
(connector
        (pt 2640 304)
        (pt 2640 416)
)
(connector
        (pt 2584 304)
        (pt 2640 304)
)
(connector
        (pt 968 520)
        (pt 968 528)
        (bus)
)
(connector
        (pt 1128 520)
        (pt 1128 528)
        (bus)
)
(connector
        (pt 1272 520)
        (pt 1272 528)
        (bus)
)
(connector
        (pt 1416 520)
        (pt 1416 528)
        (bus)
)
(connector
        (pt 1560 520)
        (pt 1560 528)
        (bus)
)
(connector
        (pt 1704 520)
        (pt 1704 528)
        (bus)
)
(connector
        (pt 1848 520)
        (pt 1848 528)
        (bus)
)
(connector
        (pt 1992 520)
        (pt 1992 528)
        (bus)
)
(connector
        (pt 2136 520)
        (pt 2136 528)
        (bus)
)
(connector
        (pt 2280 520)
        (pt 2280 528)
        (bus)
)
(connector
        (pt 2424 520)
        (pt 2424 528)
        (bus)
)
(connector
        (pt 384 680)
        (pt 384 728)
)
(connector
        (pt 368 680)
        (pt 368 696)
)
(connector
        (pt 528 680)
        (pt 528 728)
)
(connector
        (pt 512 680)
        (pt 512 696)
)
(connector
        (pt 824 528)
        (pt 824 520)
        (bus)
)
(connector
        (pt 2424 680)
        (pt 2424 728)
)
(connector
        (pt 2408 680)
        (pt 2408 696)
)
(connector
        (pt 2280 680)
        (pt 2280 728)
)
(connector
        (pt 2264 680)
        (pt 2264 696)
)
(connector
        (pt 2136 680)
        (pt 2136 728)
)
(connector
        (pt 2120 680)
        (pt 2120 696)
)
(connector
        (pt 1992 680)
        (pt 1992 728)
)
(connector
        (pt 1976 680)
        (pt 1976 696)
)
(connector
        (pt 1848 680)
        (pt 1848 728)
)
(connector
        (pt 1832 680)
        (pt 1832 696)
)
(connector
        (pt 1704 680)
        (pt 1704 728)
)
(connector
        (pt 1688 680)
        (pt 1688 696)
)
(connector
        (pt 1560 680)
        (pt 1560 728)
)
(connector
        (pt 1544 680)
        (pt 1544 696)
)
(connector
        (pt 1416 680)
        (pt 1416 728)
)
(connector
        (pt 1400 680)
        (pt 1400 696)
)
(connector
        (pt 1272 680)
        (pt 1272 728)
)
(connector
        (pt 1256 680)
        (pt 1256 696)
)
(connector
        (pt 1128 680)
        (pt 1128 728)
)
(connector
        (pt 1112 680)
        (pt 1112 696)
)
(connector
        (pt 968 680)
        (pt 968 728)
)
(connector
        (pt 952 680)
        (pt 952 696)
)
(connector
        (pt 824 680)
        (pt 824 728)
)
(connector
        (pt 808 680)
        (pt 808 696)
)
(connector
        (pt 528 520)
        (pt 528 528)
        (bus)
)
(connector
        (pt 384 520)
        (pt 384 528)
        (bus)
)
(connector
        (pt 2392 520)
        (pt 2392 536)
)
(connector
        (pt 2392 536)
        (pt 2392 560)
)
(connector
        (pt 2248 536)
        (pt 2392 536)
)
(connector
        (pt 2248 520)
        (pt 2248 536)
)
(connector
        (pt 2248 536)
        (pt 2248 560)
)
(connector
        (pt 2104 536)
        (pt 2248 536)
)
(connector
        (pt 2104 520)
        (pt 2104 536)
)
(connector
        (pt 2104 536)
        (pt 2104 560)
)
(connector
        (pt 1960 536)
        (pt 2104 536)
)
(connector
        (pt 1960 520)
        (pt 1960 536)
)
(connector
        (pt 1960 536)
        (pt 1960 560)
)
(connector
        (pt 1816 536)
        (pt 1960 536)
)
(connector
        (pt 1816 520)
        (pt 1816 536)
)
(connector
        (pt 1816 536)
        (pt 1816 560)
)
(connector
        (pt 1672 536)
        (pt 1816 536)
)
(connector
        (pt 1672 520)
        (pt 1672 536)
)
(connector
        (pt 1672 536)
        (pt 1672 560)
)
(connector
        (pt 1528 536)
        (pt 1672 536)
)
(connector
        (pt 1528 520)
        (pt 1528 536)
)
(connector
        (pt 1528 536)
        (pt 1528 560)
)
(connector
        (pt 1384 536)
        (pt 1528 536)
)
(connector
        (pt 1384 520)
        (pt 1384 536)
)
(connector
        (pt 1384 536)
        (pt 1384 560)
)
(connector
        (pt 1240 536)
        (pt 1384 536)
)
(connector
        (pt 1240 520)
        (pt 1240 536)
)
(connector
        (pt 1240 536)
        (pt 1240 560)
)
(connector
        (pt 1096 536)
        (pt 1240 536)
)
(connector
        (pt 1096 520)
        (pt 1096 536)
)
(connector
        (pt 1096 536)
        (pt 1096 560)
)
(connector
        (pt 936 536)
        (pt 1096 536)
)
(connector
        (pt 936 520)
        (pt 936 536)
)
(connector
        (pt 936 536)
        (pt 936 560)
)
(connector
        (pt 792 536)
        (pt 936 536)
)
(connector
        (pt 792 520)
        (pt 792 536)
)
(connector
        (pt 792 536)
        (pt 792 560)
)
(connector
        (pt 496 536)
        (pt 792 536)
)
(connector
        (pt 496 520)
        (pt 496 536)
)
(connector
        (pt 496 536)
        (pt 496 560)
)
(connector
        (pt 352 520)
        (pt 352 536)
)
(connector
        (pt 352 536)
        (pt 352 560)
)
(connector
        (pt 2568 208)
        (pt 2568 320)
)
(connector
        (pt 2584 208)
        (pt 2584 304)
)
(connector
        (pt 2528 208)
        (pt 2528 760)
)
(connector
        (pt 2544 208)
        (pt 2544 400)
)
(connector
        (pt 352 536)
        (pt 496 536)
)
(connector
        (pt 208 536)
        (pt 352 536)
)
(junction (pt 216 232))
(junction (pt 216 840))
(junction (pt 864 360))
(junction (pt 696 360))
(junction (pt 1008 360))
(junction (pt 1168 360))
(junction (pt 1312 360))
(junction (pt 1456 360))
(junction (pt 1600 360))
(junction (pt 1744 360))
(junction (pt 1888 360))
(junction (pt 2032 360))
(junction (pt 2176 360))
(junction (pt 2320 360))
(junction (pt 864 712))
(junction (pt 696 712))
(junction (pt 1008 712))
(junction (pt 1168 712))
(junction (pt 1312 712))
(junction (pt 1456 712))
(junction (pt 1600 712))
(junction (pt 1744 712))
(junction (pt 1888 712))
(junction (pt 2032 712))
(junction (pt 2176 712))
(junction (pt 2320 712))
(junction (pt 968 264))
(junction (pt 1080 264))
(junction (pt 1128 264))
(junction (pt 1224 264))
(junction (pt 1272 264))
(junction (pt 1368 264))
(junction (pt 1416 264))
(junction (pt 1512 264))
(junction (pt 1560 264))
(junction (pt 1656 264))
(junction (pt 1704 264))
(junction (pt 1800 264))
(junction (pt 1848 264))
(junction (pt 1944 264))
(junction (pt 1992 264))
(junction (pt 2088 264))
(junction (pt 2136 264))
(junction (pt 2232 264))
(junction (pt 2280 264))
(junction (pt 2376 264))
(junction (pt 2424 264))
(junction (pt 776 264))
(junction (pt 1136 248))
(junction (pt 1280 248))
(junction (pt 1424 248))
(junction (pt 1568 248))
(junction (pt 1712 248))
(junction (pt 1856 248))
(junction (pt 2000 248))
(junction (pt 2144 248))
(junction (pt 2288 248))
(junction (pt 2432 248))
(junction (pt 2480 248))
(junction (pt 1072 232))
(junction (pt 1216 232))
(junction (pt 1360 232))
(junction (pt 1504 232))
(junction (pt 1648 232))
(junction (pt 1792 232))
(junction (pt 1936 232))
(junction (pt 2080 232))
(junction (pt 2224 232))
(junction (pt 2368 232))
(junction (pt 2496 232))
(junction (pt 320 216))
(junction (pt 464 216))
(junction (pt 760 216))
(junction (pt 904 216))
(junction (pt 1064 216))
(junction (pt 1208 216))
(junction (pt 1352 216))
(junction (pt 1496 216))
(junction (pt 1640 216))
(junction (pt 1784 216))
(junction (pt 1928 216))
(junction (pt 2072 216))
(junction (pt 2216 216))
(junction (pt 2360 216))
(junction (pt 336 264))
(junction (pt 384 264))
(junction (pt 480 264))
(junction (pt 528 264))
(junction (pt 424 360))
(junction (pt 568 360))
(junction (pt 616 360))
(junction (pt 424 712))
(junction (pt 568 712))
(junction (pt 616 712))
(junction (pt 1136 824))
(junction (pt 1280 824))
(junction (pt 1424 824))
(junction (pt 1568 824))
(junction (pt 1712 824))
(junction (pt 1856 824))
(junction (pt 2000 824))
(junction (pt 2144 824))
(junction (pt 2288 824))
(junction (pt 2432 824))
(junction (pt 760 808))
(junction (pt 904 808))
(junction (pt 1064 808))
(junction (pt 968 856))
(junction (pt 1208 808))
(junction (pt 1080 856))
(junction (pt 1128 856))
(junction (pt 1352 808))
(junction (pt 1072 840))
(junction (pt 1224 856))
(junction (pt 1272 856))
(junction (pt 1496 808))
(junction (pt 1216 840))
(junction (pt 1368 856))
(junction (pt 1416 856))
(junction (pt 1640 808))
(junction (pt 1360 840))
(junction (pt 1512 856))
(junction (pt 1560 856))
(junction (pt 1784 808))
(junction (pt 1504 840))
(junction (pt 1656 856))
(junction (pt 1704 856))
(junction (pt 1928 808))
(junction (pt 1648 840))
(junction (pt 1800 856))
(junction (pt 1848 856))
(junction (pt 2072 808))
(junction (pt 1792 840))
(junction (pt 1944 856))
(junction (pt 1992 856))
(junction (pt 2216 808))
(junction (pt 1936 840))
(junction (pt 2088 856))
(junction (pt 2136 856))
(junction (pt 2360 808))
(junction (pt 2080 840))
(junction (pt 2224 840))
(junction (pt 2368 840))
(junction (pt 2232 856))
(junction (pt 2280 856))
(junction (pt 2376 856))
(junction (pt 2424 856))
(junction (pt 320 808))
(junction (pt 464 808))
(junction (pt 336 856))
(junction (pt 384 856))
(junction (pt 480 856))
(junction (pt 528 856))
(junction (pt 776 856))
(junction (pt 608 400))
(junction (pt 656 312))
(junction (pt 328 232))
(junction (pt 472 232))
(junction (pt 392 248))
(junction (pt 536 248))
(junction (pt 392 824))
(junction (pt 536 824))
(junction (pt 328 840))
(junction (pt 472 840))
(junction (pt 2464 360))
(junction (pt 2464 712))
(junction (pt 2656 232))
(junction (pt 2552 360))
(junction (pt 2552 712))
(junction (pt 2632 360))
(junction (pt 2632 712))
(junction (pt 2392 536))
(junction (pt 2248 536))
(junction (pt 2104 536))
(junction (pt 1960 536))
(junction (pt 1816 536))
(junction (pt 1672 536))
(junction (pt 1528 536))
(junction (pt 1384 536))
(junction (pt 1240 536))
(junction (pt 1096 536))
(junction (pt 936 536))
(junction (pt 792 536))
(junction (pt 496 536))
(junction (pt 352 536))
(text "SW4" (rect 640 792 681 810)(font "Arial" (color 0 0 0)(font_size 12)))
(text "Register Gate" (rect 2536 800 2650 818)(font "Arial" (color 0 0 0)(font_size 12)))
(rectangle (rect 592 296 720 776)(fill (color 255 170 255)))
(rectangle (rect 2512 296 2656 776)(fill (color 255 170 255)))
(title_block
        (rect 32 888 353 949)
        (name "title-custom-medium")
        (section (rect 0 0 320 20)(text "PROJECT" (rect 2 0 52 12)(font "Arial" ))(text "A-Z80" (rect 56 2 106 21)(font "Arial" (font_size 12)(bold)))(border))
        (section (rect 130 0 320 20)(text "MODULE" (rect 2 1 48 13)(font "Arial" ))(text "reg_file" (rect 43 2 109 21)(font "Arial" (font_size 12)(bold)))(border))
        (section (rect 0 21 320 40)(text "DESIGNER" (rect 2 0 59 12)(font "Arial" ))(text "Goran Devic" (rect 56 2 151 19)(font "Arial" (font_size 11)))(border))
        (section (rect 0 41 240 60)(text "DATE" (rect 2 0 30 12)(font "Arial" ))(text "May 17, 2014" (rect 56 3 149 19)(font "Arial" (font_size 10)))(border))
        (section (rect 241 41 320 60)(text "REV" (rect 2 1 25 13)(font "Arial" ))(text "1.4" (rect 43 3 64 19)(font "Arial" (font_size 10)))(border))
        (drawing
        )
)

Go to most recent revision | Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.