OpenCores
URL https://opencores.org/ocsvn/a-z80/a-z80/trunk

Subversion Repositories a-z80

[/] [a-z80/] [trunk/] [host/] [basic_nexys3/] [ipcore_dir/] [clock/] [implement/] [xst.scr] - Rev 8

Compare with Previous | Blame | View Log

run
-ifmt MIXED
-top clock_exdes
-p xc6slx16-csg324-2
-ifn xst.prj
-ofn clock_exdes
-keep_hierarchy soft 
-equivalent_register_removal no 
-max_fanout 65535
 

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.