OpenCores
URL https://opencores.org/ocsvn/a-z80/a-z80/trunk

Subversion Repositories a-z80

[/] [a-z80/] [trunk/] [host/] [basic_nexys3/] [ipcore_dir/] [ila.constraints/] [ila.ucf] - Rev 8

Compare with Previous | Blame | View Log

#
# Clock constraints
#
NET "CLK" TNM_NET = D_CLK ;
INST "U0/*/U_STAT/U_DIRTY_LDC" TNM = D2_CLK;
TIMESPEC TS_D2_TO_T2_ila = FROM D2_CLK TO "FFS" TIG;
TIMESPEC TS_J2_TO_D2_ila = FROM "FFS" TO D2_CLK TIG;
TIMESPEC TS_J3_TO_D2_ila = FROM "FFS" TO D2_CLK TIG;
TIMESPEC TS_J4_TO_D2_ila = FROM "FFS" TO D2_CLK TIG;

#
# Input keep/save net constraints
#
NET "TRIG0<*" S;
NET "TRIG0<*" KEEP;
NET "DATA<*" S;
NET "DATA<*" KEEP;

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.