OpenCores
URL https://opencores.org/ocsvn/aes-128-ecb-encoder/aes-128-ecb-encoder/trunk

Subversion Repositories aes-128-ecb-encoder

[/] [aes-128-ecb-encoder/] [trunk/] [fpga/] [aes128_ecb_2017/] [aes128_ecb.runs/] [axi_uartlite_module_synth_1/] [axi_uartlite_module_4220.backup.vds] - Rev 2

Compare with Previous | Blame | View Log

#-----------------------------------------------------------
# Vivado v2017.4 (64-bit)
# SW Build 2086221 on Fri Dec 15 20:54:30 MST 2017
# IP Build 2085800 on Fri Dec 15 22:25:07 MST 2017
# Start of session at: Wed Jul 29 15:21:57 2020
# Process ID: 4220
# Current directory: /home/user/aes/fpga/aec128_ecb_2017/aes128_ecb.runs/axi_uartlite_module_synth_1
# Command line: vivado -log axi_uartlite_module.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source axi_uartlite_module.tcl
# Log file: /home/user/aes/fpga/aec128_ecb_2017/aes128_ecb.runs/axi_uartlite_module_synth_1/axi_uartlite_module.vds
# Journal file: /home/user/aes/fpga/aec128_ecb_2017/aes128_ecb.runs/axi_uartlite_module_synth_1/vivado.jou
#-----------------------------------------------------------
source axi_uartlite_module.tcl -notrace
INFO: [IP_Flow 19-4838] Using cached IP synthesis design for IP axi_uartlite_module, cache-ID = 077a94985ac208e4.
INFO: [Common 17-206] Exiting Vivado at Wed Jul 29 15:22:05 2020...

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.