OpenCores
URL https://opencores.org/ocsvn/aes-128-ecb-encoder/aes-128-ecb-encoder/trunk

Subversion Repositories aes-128-ecb-encoder

[/] [aes-128-ecb-encoder/] [trunk/] [fpga/] [aes128_ecb_2017/] [aes128_ecb.runs/] [clk_gen_synth_1/] [vivado_4219.backup.jou] - Rev 2

Compare with Previous | Blame | View Log

#-----------------------------------------------------------
# Vivado v2017.4 (64-bit)
# SW Build 2086221 on Fri Dec 15 20:54:30 MST 2017
# IP Build 2085800 on Fri Dec 15 22:25:07 MST 2017
# Start of session at: Wed Jul 29 15:21:57 2020
# Process ID: 4219
# Current directory: /home/user/aes/fpga/aec128_ecb_2017/aes128_ecb.runs/clk_gen_synth_1
# Command line: vivado -log clk_gen.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source clk_gen.tcl
# Log file: /home/user/aes/fpga/aec128_ecb_2017/aes128_ecb.runs/clk_gen_synth_1/clk_gen.vds
# Journal file: /home/user/aes/fpga/aec128_ecb_2017/aes128_ecb.runs/clk_gen_synth_1/vivado.jou
#-----------------------------------------------------------
source clk_gen.tcl -notrace

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.