OpenCores
URL https://opencores.org/ocsvn/aes-128-ecb-encoder/aes-128-ecb-encoder/trunk

Subversion Repositories aes-128-ecb-encoder

[/] [aes-128-ecb-encoder/] [trunk/] [fpga/] [aes128_ecb_2017/] [aes128_ecb.runs/] [impl_1/] [vivado.jou] - Rev 2

Compare with Previous | Blame | View Log

#-----------------------------------------------------------
# Vivado v2017.4 (64-bit)
# SW Build 2086221 on Fri Dec 15 20:54:30 MST 2017
# IP Build 2085800 on Fri Dec 15 22:25:07 MST 2017
# Start of session at: Thu Jul 30 15:31:01 2020
# Process ID: 15976
# Current directory: /home/user/aes128/fpga/aes128_ecb_2017/aes128_ecb.runs/impl_1
# Command line: vivado -log aes128_ecb_fpga_wrap.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source aes128_ecb_fpga_wrap.tcl -notrace
# Log file: /home/user/aes128/fpga/aes128_ecb_2017/aes128_ecb.runs/impl_1/aes128_ecb_fpga_wrap.vdi
# Journal file: /home/user/aes128/fpga/aes128_ecb_2017/aes128_ecb.runs/impl_1/vivado.jou
#-----------------------------------------------------------
source aes128_ecb_fpga_wrap.tcl -notrace

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.