OpenCores
URL https://opencores.org/ocsvn/aes-128-ecb-encoder/aes-128-ecb-encoder/trunk

Subversion Repositories aes-128-ecb-encoder

[/] [aes-128-ecb-encoder/] [trunk/] [fpga/] [aes128_ecb_2017/] [aes128_ecb.srcs/] [sources_1/] [ip/] [clk_gen/] [clk_gen_board.xdc] - Rev 2

Compare with Previous | Blame | View Log

#--------------------Physical Constraints-----------------

set_property BOARD_PIN {SYSCLK_P} [get_ports clk_in1_p]
set_property BOARD_PIN {SYSCLK_N} [get_ports clk_in1_n]

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.