OpenCores
URL https://opencores.org/ocsvn/aes-128-ecb-encoder/aes-128-ecb-encoder/trunk

Subversion Repositories aes-128-ecb-encoder

[/] [aes-128-ecb-encoder/] [trunk/] [fpga/] [aes128_ecb_2017/] [netlist/] [uartlite.sdf] - Rev 2

Compare with Previous | Blame | View Log

(DELAYFILE 
(SDFVERSION "3.0" )
(DESIGN "axi_uartlite_module")
(DATE "Tue Jul 28 08:49:01 2020")
(VENDOR "XILINX")
(PROGRAM "Vivado")
(VERSION "2017.4")
(DIVIDER /)
(TIMESCALE 1ps)
(CELL 
  (CELLTYPE "LUT3")
  (INSTANCE U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/Bus_RNW_reg_i_1)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I2 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "FDRE")
  (INSTANCE U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/Bus_RNW_reg_reg)
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (132.0:165.0:165.0) (132.0:165.0:165.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (posedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (negedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (posedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (SETUPHOLD (negedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (PERIOD (posedge C) (610.0:700.0:700.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
    )
)
(CELL 
  (CELLTYPE "FDRE")
  (INSTANCE U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS\[0\]\.ce_out_i_reg\[0\])
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (132.0:165.0:165.0) (132.0:165.0:165.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (posedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (negedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (posedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (SETUPHOLD (negedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (PERIOD (posedge C) (610.0:700.0:700.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
    )
)
(CELL 
  (CELLTYPE "LUT2")
  (INSTANCE U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS\[1\]\.ce_out_i\[1\]_i_1)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "FDRE")
  (INSTANCE U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS\[1\]\.ce_out_i_reg\[1\])
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (132.0:165.0:165.0) (132.0:165.0:165.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (posedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (negedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (posedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (SETUPHOLD (negedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (PERIOD (posedge C) (610.0:700.0:700.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
    )
)
(CELL 
  (CELLTYPE "FDRE")
  (INSTANCE U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS\[2\]\.ce_out_i_reg\[2\])
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (132.0:165.0:165.0) (132.0:165.0:165.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (posedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (negedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (posedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (SETUPHOLD (negedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (PERIOD (posedge C) (610.0:700.0:700.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
    )
)
(CELL 
  (CELLTYPE "LUT5")
  (INSTANCE U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS\[3\]\.ce_out_i\[3\]_i_1)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I4 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I3 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I2 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "LUT2")
  (INSTANCE U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS\[3\]\.ce_out_i\[3\]_i_2)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I1 O (45.0:54.0:54.0) (45.0:54.0:54.0))
      (IOPATH I0 O (44.0:54.0:54.0) (44.0:54.0:54.0))
    )
  )
)
(CELL 
  (CELLTYPE "FDRE")
  (INSTANCE U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS\[3\]\.ce_out_i_reg\[3\])
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (116.0:146.0:146.0) (116.0:146.0:146.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (posedge D) (posedge C) (-52.0:-42.0:-42.0) (126.0:126.0:126.0))
      (SETUPHOLD (negedge D) (posedge C) (-52.0:-42.0:-42.0) (126.0:126.0:126.0))
      (SETUPHOLD (posedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (SETUPHOLD (negedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (PERIOD (posedge C) (653.0:750.0:750.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (348.0:400.0:400.0))
    )
)
(CELL 
  (CELLTYPE "LUT3")
  (INSTANCE U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/INFERRED_GEN\.cnt_i\[3\]_i_2)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I2 O (43.0:51.0:51.0) (43.0:51.0:51.0))
      (IOPATH I1 O (45.0:53.0:53.0) (45.0:53.0:53.0))
      (IOPATH I0 O (45.0:53.0:53.0) (45.0:53.0:53.0))
    )
  )
)
(CELL 
  (CELLTYPE "LUT2")
  (INSTANCE U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/INFERRED_GEN\.cnt_i\[4\]_i_3)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "LUT3")
  (INSTANCE U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/INFERRED_GEN\.cnt_i\[4\]_i_5)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I2 O (43.0:51.0:51.0) (43.0:51.0:51.0))
      (IOPATH I1 O (43.0:51.0:51.0) (43.0:51.0:51.0))
      (IOPATH I0 O (42.0:49.0:49.0) (42.0:49.0:49.0))
    )
  )
)
(CELL 
  (CELLTYPE "LUT3")
  (INSTANCE U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/INFERRED_GEN\.data_reg\[15\]\[7\]_srl16_i_1)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I2 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "LUT2")
  (INSTANCE U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/MEM_DECODE_GEN\[0\]\.PER_CE_GEN\[0\]\.MULTIPLE_CES_THIS_CS_GEN\.CE_I/CS)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "LUT2")
  (INSTANCE U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/MEM_DECODE_GEN\[0\]\.PER_CE_GEN\[2\]\.MULTIPLE_CES_THIS_CS_GEN\.CE_I/CS)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "LUT2")
  (INSTANCE U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/clr_Status_i_1)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I1 O (42.0:49.0:49.0) (42.0:49.0:49.0))
      (IOPATH I0 O (40.0:49.0:49.0) (40.0:49.0:49.0))
    )
  )
)
(CELL 
  (CELLTYPE "LUT4")
  (INSTANCE U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/enable_interrupts_i_1)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I3 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I2 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "LUT3")
  (INSTANCE U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/reset_RX_FIFO_i_1)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I2 O (42.0:49.0:49.0) (42.0:49.0:49.0))
      (IOPATH I1 O (43.0:51.0:51.0) (43.0:51.0:51.0))
      (IOPATH I0 O (43.0:51.0:51.0) (43.0:51.0:51.0))
    )
  )
)
(CELL 
  (CELLTYPE "LUT3")
  (INSTANCE U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/reset_TX_FIFO_i_1)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I2 O (46.0:55.0:55.0) (46.0:55.0:55.0))
      (IOPATH I1 O (45.0:54.0:54.0) (45.0:54.0:54.0))
      (IOPATH I0 O (44.0:54.0:54.0) (44.0:54.0:54.0))
    )
  )
)
(CELL 
  (CELLTYPE "LUT4")
  (INSTANCE U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/rx_Data_Present_Pre_i_1)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I3 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I2 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "LUT5")
  (INSTANCE U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_arready_INST_0)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I4 O (45.0:54.0:54.0) (45.0:54.0:54.0))
      (IOPATH I3 O (45.0:54.0:54.0) (45.0:54.0:54.0))
      (IOPATH I2 O (45.0:54.0:54.0) (45.0:54.0:54.0))
      (IOPATH I1 O (46.0:55.0:55.0) (46.0:55.0:55.0))
      (IOPATH I0 O (44.0:54.0:54.0) (44.0:54.0:54.0))
    )
  )
)
(CELL 
  (CELLTYPE "LUT4")
  (INSTANCE U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_bresp_i\[1\]_i_1)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I3 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I2 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "LUT5")
  (INSTANCE U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_bvalid_i_i_1)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I4 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I3 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I2 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "LUT5")
  (INSTANCE U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_rdata_i\[0\]_i_1)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I4 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I3 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I2 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "LUT5")
  (INSTANCE U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_rdata_i\[1\]_i_1)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I4 O (43.0:51.0:51.0) (43.0:51.0:51.0))
      (IOPATH I3 O (40.0:47.0:47.0) (40.0:47.0:47.0))
      (IOPATH I2 O (43.0:51.0:51.0) (43.0:51.0:51.0))
      (IOPATH I1 O (39.0:47.0:47.0) (39.0:47.0:47.0))
      (IOPATH I0 O (40.0:47.0:47.0) (40.0:47.0:47.0))
    )
  )
)
(CELL 
  (CELLTYPE "LUT5")
  (INSTANCE U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_rdata_i\[2\]_i_1)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I4 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I3 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I2 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "LUT5")
  (INSTANCE U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_rdata_i\[3\]_i_1)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I4 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I3 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I2 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "LUT5")
  (INSTANCE U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_rdata_i\[4\]_i_1)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I4 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I3 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I2 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "LUT5")
  (INSTANCE U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_rdata_i\[5\]_i_1)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I4 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I3 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I2 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "LUT5")
  (INSTANCE U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_rdata_i\[6\]_i_1)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I4 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I3 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I2 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "LUT4")
  (INSTANCE U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_rdata_i\[7\]_i_2)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I3 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I2 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "LUT5")
  (INSTANCE U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_rresp_i\[1\]_i_1)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I4 O (43.0:51.0:51.0) (43.0:51.0:51.0))
      (IOPATH I3 O (44.0:52.0:52.0) (44.0:52.0:52.0))
      (IOPATH I2 O (42.0:49.0:49.0) (42.0:49.0:49.0))
      (IOPATH I1 O (43.0:51.0:51.0) (43.0:51.0:51.0))
      (IOPATH I0 O (40.0:49.0:49.0) (40.0:49.0:49.0))
    )
  )
)
(CELL 
  (CELLTYPE "LUT5")
  (INSTANCE U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_rvalid_i_i_1)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I4 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I3 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I2 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "LUT5")
  (INSTANCE U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_wready_INST_0)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I4 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I3 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I2 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "LUT5")
  (INSTANCE U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/state\[0\]_i_1)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I4 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I3 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I2 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "LUT6")
  (INSTANCE U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/state\[1\]_i_1)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I5 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I4 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I3 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I2 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "LUT4")
  (INSTANCE U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/tx_Buffer_Empty_Pre_i_1)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I3 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I2 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "LUT5")
  (INSTANCE U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/bus2ip_addr_i\[2\]_i_1)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I4 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I3 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I2 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "LUT5")
  (INSTANCE U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/bus2ip_addr_i\[3\]_i_1)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I4 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I3 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I2 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "LUT3")
  (INSTANCE U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/bus2ip_addr_i\[3\]_i_2)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I2 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "FDRE")
  (INSTANCE U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/bus2ip_addr_i_reg\[2\])
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (132.0:165.0:165.0) (132.0:165.0:165.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (posedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (negedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (posedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (SETUPHOLD (negedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (PERIOD (posedge C) (610.0:700.0:700.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
    )
)
(CELL 
  (CELLTYPE "FDRE")
  (INSTANCE U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/bus2ip_addr_i_reg\[3\])
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (132.0:165.0:165.0) (132.0:165.0:165.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (posedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (negedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (posedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (SETUPHOLD (negedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (PERIOD (posedge C) (610.0:700.0:700.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
    )
)
(CELL 
  (CELLTYPE "LUT5")
  (INSTANCE U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/bus2ip_rnw_i_i_1)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I4 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I3 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I2 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "FDRE")
  (INSTANCE U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/bus2ip_rnw_i_reg)
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (132.0:165.0:165.0) (132.0:165.0:165.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (posedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (negedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (posedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (SETUPHOLD (negedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (PERIOD (posedge C) (610.0:700.0:700.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
    )
)
(CELL 
  (CELLTYPE "FDRE")
  (INSTANCE U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/rst_reg)
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (132.0:165.0:165.0) (132.0:165.0:165.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (posedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (negedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (posedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (SETUPHOLD (negedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (PERIOD (posedge C) (610.0:700.0:700.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
    )
)
(CELL 
  (CELLTYPE "FDRE")
  (INSTANCE U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_bresp_i_reg\[1\])
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (132.0:165.0:165.0) (132.0:165.0:165.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (posedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (negedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (posedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (SETUPHOLD (negedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (PERIOD (posedge C) (610.0:700.0:700.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
    )
)
(CELL 
  (CELLTYPE "FDRE")
  (INSTANCE U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_bvalid_i_reg)
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (132.0:165.0:165.0) (132.0:165.0:165.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (posedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (negedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (posedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (SETUPHOLD (negedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (PERIOD (posedge C) (610.0:700.0:700.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
    )
)
(CELL 
  (CELLTYPE "LUT2")
  (INSTANCE U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rdata_i\[7\]_i_1)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "FDRE")
  (INSTANCE U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rdata_i_reg\[0\])
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (139.0:175.0:175.0) (139.0:175.0:175.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (34.0:34.0:34.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (34.0:34.0:34.0))
      (SETUPHOLD (posedge D) (posedge C) (-53.0:-47.0:-47.0) (143.0:143.0:143.0))
      (SETUPHOLD (negedge D) (posedge C) (-53.0:-47.0:-47.0) (143.0:143.0:143.0))
      (SETUPHOLD (posedge R) (posedge C) (236.0:295.0:295.0) (17.0:17.0:17.0))
      (SETUPHOLD (negedge R) (posedge C) (236.0:295.0:295.0) (17.0:17.0:17.0))
      (PERIOD (posedge C) (610.0:700.0:700.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
    )
)
(CELL 
  (CELLTYPE "FDRE")
  (INSTANCE U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rdata_i_reg\[1\])
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (139.0:175.0:175.0) (139.0:175.0:175.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (34.0:34.0:34.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (34.0:34.0:34.0))
      (SETUPHOLD (posedge D) (posedge C) (-53.0:-47.0:-47.0) (143.0:143.0:143.0))
      (SETUPHOLD (negedge D) (posedge C) (-53.0:-47.0:-47.0) (143.0:143.0:143.0))
      (SETUPHOLD (posedge R) (posedge C) (236.0:295.0:295.0) (17.0:17.0:17.0))
      (SETUPHOLD (negedge R) (posedge C) (236.0:295.0:295.0) (17.0:17.0:17.0))
      (PERIOD (posedge C) (610.0:700.0:700.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
    )
)
(CELL 
  (CELLTYPE "FDRE")
  (INSTANCE U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rdata_i_reg\[2\])
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (132.0:165.0:165.0) (132.0:165.0:165.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (posedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (negedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (posedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (SETUPHOLD (negedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (PERIOD (posedge C) (610.0:700.0:700.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
    )
)
(CELL 
  (CELLTYPE "FDRE")
  (INSTANCE U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rdata_i_reg\[3\])
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (132.0:165.0:165.0) (132.0:165.0:165.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (posedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (negedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (posedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (SETUPHOLD (negedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (PERIOD (posedge C) (610.0:700.0:700.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
    )
)
(CELL 
  (CELLTYPE "FDRE")
  (INSTANCE U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rdata_i_reg\[4\])
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (132.0:165.0:165.0) (132.0:165.0:165.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (posedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (negedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (posedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (SETUPHOLD (negedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (PERIOD (posedge C) (610.0:700.0:700.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
    )
)
(CELL 
  (CELLTYPE "FDRE")
  (INSTANCE U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rdata_i_reg\[5\])
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (132.0:165.0:165.0) (132.0:165.0:165.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (posedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (negedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (posedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (SETUPHOLD (negedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (PERIOD (posedge C) (610.0:700.0:700.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
    )
)
(CELL 
  (CELLTYPE "FDRE")
  (INSTANCE U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rdata_i_reg\[6\])
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (132.0:165.0:165.0) (132.0:165.0:165.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (posedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (negedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (posedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (SETUPHOLD (negedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (PERIOD (posedge C) (610.0:700.0:700.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
    )
)
(CELL 
  (CELLTYPE "FDRE")
  (INSTANCE U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rdata_i_reg\[7\])
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (139.0:175.0:175.0) (139.0:175.0:175.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (34.0:34.0:34.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (34.0:34.0:34.0))
      (SETUPHOLD (posedge D) (posedge C) (-53.0:-47.0:-47.0) (143.0:143.0:143.0))
      (SETUPHOLD (negedge D) (posedge C) (-53.0:-47.0:-47.0) (143.0:143.0:143.0))
      (SETUPHOLD (posedge R) (posedge C) (236.0:295.0:295.0) (17.0:17.0:17.0))
      (SETUPHOLD (negedge R) (posedge C) (236.0:295.0:295.0) (17.0:17.0:17.0))
      (PERIOD (posedge C) (610.0:700.0:700.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
    )
)
(CELL 
  (CELLTYPE "FDRE")
  (INSTANCE U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rresp_i_reg\[1\])
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (116.0:146.0:146.0) (116.0:146.0:146.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (posedge D) (posedge C) (-52.0:-42.0:-42.0) (126.0:126.0:126.0))
      (SETUPHOLD (negedge D) (posedge C) (-52.0:-42.0:-42.0) (126.0:126.0:126.0))
      (SETUPHOLD (posedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (SETUPHOLD (negedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (PERIOD (posedge C) (653.0:750.0:750.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (348.0:400.0:400.0))
    )
)
(CELL 
  (CELLTYPE "FDRE")
  (INSTANCE U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rvalid_i_reg)
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (132.0:165.0:165.0) (132.0:165.0:165.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (posedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (negedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (posedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (SETUPHOLD (negedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (PERIOD (posedge C) (610.0:700.0:700.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
    )
)
(CELL 
  (CELLTYPE "LUT4")
  (INSTANCE U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/start2_i_1)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I3 O (39.0:48.0:48.0) (39.0:48.0:48.0))
      (IOPATH I2 O (42.0:50.0:50.0) (42.0:50.0:50.0))
      (IOPATH I1 O (44.0:52.0:52.0) (44.0:52.0:52.0))
      (IOPATH I0 O (42.0:50.0:50.0) (42.0:50.0:50.0))
    )
  )
)
(CELL 
  (CELLTYPE "FDRE")
  (INSTANCE U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/start2_reg)
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (116.0:146.0:146.0) (116.0:146.0:146.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (posedge D) (posedge C) (-52.0:-42.0:-42.0) (126.0:126.0:126.0))
      (SETUPHOLD (negedge D) (posedge C) (-52.0:-42.0:-42.0) (126.0:126.0:126.0))
      (SETUPHOLD (posedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (SETUPHOLD (negedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (PERIOD (posedge C) (653.0:750.0:750.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (348.0:400.0:400.0))
    )
)
(CELL 
  (CELLTYPE "LUT5")
  (INSTANCE U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/state\[0\]_i_2)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I4 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I3 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I2 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "LUT5")
  (INSTANCE U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/state\[1\]_i_2)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I4 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I3 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I2 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "FDRE")
  (INSTANCE U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/state_reg\[0\])
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (132.0:165.0:165.0) (132.0:165.0:165.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (posedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (negedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (posedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (SETUPHOLD (negedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (PERIOD (posedge C) (610.0:700.0:700.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
    )
)
(CELL 
  (CELLTYPE "FDRE")
  (INSTANCE U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/state_reg\[1\])
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (132.0:165.0:165.0) (132.0:165.0:165.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (posedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (negedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (posedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (SETUPHOLD (negedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (PERIOD (posedge C) (610.0:700.0:700.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
    )
)
(CELL 
  (CELLTYPE "LUT4")
  (INSTANCE U0/UARTLITE_CORE_I/BAUD_RATE_I/EN_16x_Baud_i_1)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I3 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I2 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "FDRE")
  (INSTANCE U0/UARTLITE_CORE_I/BAUD_RATE_I/EN_16x_Baud_reg)
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (132.0:165.0:165.0) (132.0:165.0:165.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (posedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (negedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (posedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (SETUPHOLD (negedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (PERIOD (posedge C) (610.0:700.0:700.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
    )
)
(CELL 
  (CELLTYPE "LUT6")
  (INSTANCE U0/UARTLITE_CORE_I/BAUD_RATE_I/count\[0\]_i_1)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I5 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I4 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I3 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I2 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "LUT2")
  (INSTANCE U0/UARTLITE_CORE_I/BAUD_RATE_I/count\[1\]_i_1)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "LUT6")
  (INSTANCE U0/UARTLITE_CORE_I/BAUD_RATE_I/count\[2\]_i_1)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I5 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I4 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I3 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I2 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "LUT3")
  (INSTANCE U0/UARTLITE_CORE_I/BAUD_RATE_I/count\[2\]_i_2)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I2 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "LUT6")
  (INSTANCE U0/UARTLITE_CORE_I/BAUD_RATE_I/count\[3\]_i_1)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I5 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I4 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I3 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I2 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "LUT3")
  (INSTANCE U0/UARTLITE_CORE_I/BAUD_RATE_I/count\[3\]_i_2)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I2 O (40.0:47.0:47.0) (40.0:47.0:47.0))
      (IOPATH I1 O (40.0:47.0:47.0) (40.0:47.0:47.0))
      (IOPATH I0 O (43.0:51.0:51.0) (43.0:51.0:51.0))
    )
  )
)
(CELL 
  (CELLTYPE "LUT5")
  (INSTANCE U0/UARTLITE_CORE_I/BAUD_RATE_I/count\[4\]_i_1)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I4 O (43.0:51.0:51.0) (43.0:51.0:51.0))
      (IOPATH I3 O (42.0:49.0:49.0) (42.0:49.0:49.0))
      (IOPATH I2 O (40.0:49.0:49.0) (40.0:49.0:49.0))
      (IOPATH I1 O (43.0:51.0:51.0) (43.0:51.0:51.0))
      (IOPATH I0 O (44.0:52.0:52.0) (44.0:52.0:52.0))
    )
  )
)
(CELL 
  (CELLTYPE "LUT4")
  (INSTANCE U0/UARTLITE_CORE_I/BAUD_RATE_I/count\[4\]_i_2)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I3 O (45.0:53.0:53.0) (45.0:53.0:53.0))
      (IOPATH I2 O (43.0:51.0:51.0) (43.0:51.0:51.0))
      (IOPATH I1 O (45.0:53.0:53.0) (45.0:53.0:53.0))
      (IOPATH I0 O (45.0:53.0:53.0) (45.0:53.0:53.0))
    )
  )
)
(CELL 
  (CELLTYPE "LUT6")
  (INSTANCE U0/UARTLITE_CORE_I/BAUD_RATE_I/count\[5\]_i_1)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I5 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I4 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I3 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I2 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "LUT4")
  (INSTANCE U0/UARTLITE_CORE_I/BAUD_RATE_I/count\[6\]_i_1)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I3 O (43.0:51.0:51.0) (43.0:51.0:51.0))
      (IOPATH I2 O (43.0:51.0:51.0) (43.0:51.0:51.0))
      (IOPATH I1 O (40.0:49.0:49.0) (40.0:49.0:49.0))
      (IOPATH I0 O (42.0:49.0:49.0) (42.0:49.0:49.0))
    )
  )
)
(CELL 
  (CELLTYPE "LUT4")
  (INSTANCE U0/UARTLITE_CORE_I/BAUD_RATE_I/count\[7\]_i_1)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I3 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I2 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "LUT5")
  (INSTANCE U0/UARTLITE_CORE_I/BAUD_RATE_I/count\[7\]_i_2)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I4 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I3 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I2 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "FDRE")
  (INSTANCE U0/UARTLITE_CORE_I/BAUD_RATE_I/count_reg\[0\])
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (139.0:175.0:175.0) (139.0:175.0:175.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (34.0:34.0:34.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (34.0:34.0:34.0))
      (SETUPHOLD (posedge D) (posedge C) (-53.0:-47.0:-47.0) (143.0:143.0:143.0))
      (SETUPHOLD (negedge D) (posedge C) (-53.0:-47.0:-47.0) (143.0:143.0:143.0))
      (SETUPHOLD (posedge R) (posedge C) (236.0:295.0:295.0) (17.0:17.0:17.0))
      (SETUPHOLD (negedge R) (posedge C) (236.0:295.0:295.0) (17.0:17.0:17.0))
      (PERIOD (posedge C) (610.0:700.0:700.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
    )
)
(CELL 
  (CELLTYPE "FDRE")
  (INSTANCE U0/UARTLITE_CORE_I/BAUD_RATE_I/count_reg\[1\])
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (139.0:175.0:175.0) (139.0:175.0:175.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (34.0:34.0:34.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (34.0:34.0:34.0))
      (SETUPHOLD (posedge D) (posedge C) (-53.0:-47.0:-47.0) (143.0:143.0:143.0))
      (SETUPHOLD (negedge D) (posedge C) (-53.0:-47.0:-47.0) (143.0:143.0:143.0))
      (SETUPHOLD (posedge R) (posedge C) (236.0:295.0:295.0) (17.0:17.0:17.0))
      (SETUPHOLD (negedge R) (posedge C) (236.0:295.0:295.0) (17.0:17.0:17.0))
      (PERIOD (posedge C) (610.0:700.0:700.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
    )
)
(CELL 
  (CELLTYPE "FDRE")
  (INSTANCE U0/UARTLITE_CORE_I/BAUD_RATE_I/count_reg\[2\])
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (139.0:175.0:175.0) (139.0:175.0:175.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (34.0:34.0:34.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (34.0:34.0:34.0))
      (SETUPHOLD (posedge D) (posedge C) (-53.0:-47.0:-47.0) (143.0:143.0:143.0))
      (SETUPHOLD (negedge D) (posedge C) (-53.0:-47.0:-47.0) (143.0:143.0:143.0))
      (SETUPHOLD (posedge R) (posedge C) (236.0:295.0:295.0) (17.0:17.0:17.0))
      (SETUPHOLD (negedge R) (posedge C) (236.0:295.0:295.0) (17.0:17.0:17.0))
      (PERIOD (posedge C) (610.0:700.0:700.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
    )
)
(CELL 
  (CELLTYPE "FDRE")
  (INSTANCE U0/UARTLITE_CORE_I/BAUD_RATE_I/count_reg\[3\])
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (132.0:165.0:165.0) (132.0:165.0:165.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (posedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (negedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (posedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (SETUPHOLD (negedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (PERIOD (posedge C) (610.0:700.0:700.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
    )
)
(CELL 
  (CELLTYPE "FDRE")
  (INSTANCE U0/UARTLITE_CORE_I/BAUD_RATE_I/count_reg\[4\])
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (132.0:165.0:165.0) (132.0:165.0:165.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (posedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (negedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (posedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (SETUPHOLD (negedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (PERIOD (posedge C) (610.0:700.0:700.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
    )
)
(CELL 
  (CELLTYPE "FDRE")
  (INSTANCE U0/UARTLITE_CORE_I/BAUD_RATE_I/count_reg\[5\])
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (132.0:165.0:165.0) (132.0:165.0:165.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (posedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (negedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (posedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (SETUPHOLD (negedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (PERIOD (posedge C) (610.0:700.0:700.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
    )
)
(CELL 
  (CELLTYPE "FDRE")
  (INSTANCE U0/UARTLITE_CORE_I/BAUD_RATE_I/count_reg\[6\])
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (116.0:146.0:146.0) (116.0:146.0:146.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (posedge D) (posedge C) (-52.0:-42.0:-42.0) (126.0:126.0:126.0))
      (SETUPHOLD (negedge D) (posedge C) (-52.0:-42.0:-42.0) (126.0:126.0:126.0))
      (SETUPHOLD (posedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (SETUPHOLD (negedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (PERIOD (posedge C) (653.0:750.0:750.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (348.0:400.0:400.0))
    )
)
(CELL 
  (CELLTYPE "FDRE")
  (INSTANCE U0/UARTLITE_CORE_I/BAUD_RATE_I/count_reg\[7\])
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (132.0:165.0:165.0) (132.0:165.0:165.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (posedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (negedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (posedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (SETUPHOLD (negedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (PERIOD (posedge C) (610.0:700.0:700.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
    )
)
(CELL 
  (CELLTYPE "FDRE")
  (INSTANCE U0/UARTLITE_CORE_I/Interrupt_reg)
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (132.0:165.0:165.0) (132.0:165.0:165.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (posedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (negedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (posedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (SETUPHOLD (negedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (PERIOD (posedge C) (610.0:700.0:700.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
    )
)
(CELL 
  (CELLTYPE "SRL16E")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/INFERRED_GEN\.data_reg\[14\]\[0\]_srl15)
  (DELAY 
    (ABSOLUTE 
      (IOPATH CLK Q (721.0:903.0:903.0) (721.0:903.0:903.0))
      (IOPATH A3 Q (39.0:47.0:47.0) (39.0:47.0:47.0))
      (IOPATH A2 Q (43.0:51.0:51.0) (43.0:51.0:51.0))
      (IOPATH A1 Q (43.0:51.0:51.0) (43.0:51.0:51.0))
      (IOPATH A0 Q (40.0:47.0:47.0) (40.0:47.0:47.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge CLK) (270.0:339.0:339.0) (-5.0:-5.0:-5.0))
      (SETUPHOLD (negedge CE) (posedge CLK) (270.0:339.0:339.0) (-5.0:-5.0:-5.0))
      (SETUPHOLD (posedge D) (posedge CLK) (71.0:89.0:89.0) (87.0:87.0:87.0))
      (SETUPHOLD (negedge D) (posedge CLK) (71.0:89.0:89.0) (87.0:87.0:87.0))
      (WIDTH (posedge CLK) (559.0:642.0:642.0))
      (WIDTH (posedge CLK) (559.0:642.0:642.0))
    )
)
(CELL 
  (CELLTYPE "LUT4")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/INFERRED_GEN\.data_reg\[14\]\[0\]_srl15_i_1)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I3 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I2 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "FDRE")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/INFERRED_GEN\.data_reg\[15\]\[0\])
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (122.0:152.0:152.0) (122.0:152.0:152.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (34.0:34.0:34.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (34.0:34.0:34.0))
      (SETUPHOLD (posedge D) (posedge C) (-60.0:-50.0:-50.0) (133.0:133.0:133.0))
      (SETUPHOLD (negedge D) (posedge C) (-60.0:-50.0:-50.0) (133.0:133.0:133.0))
      (SETUPHOLD (posedge R) (posedge C) (236.0:295.0:295.0) (17.0:17.0:17.0))
      (SETUPHOLD (negedge R) (posedge C) (236.0:295.0:295.0) (17.0:17.0:17.0))
      (PERIOD (posedge C) (653.0:750.0:750.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (348.0:400.0:400.0))
    )
)
(CELL 
  (CELLTYPE "LUT5")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/SERIAL_TO_PARALLEL\[2\]\.fifo_din\[2\]_i_1)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I4 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I3 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I2 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "LUT5")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/SERIAL_TO_PARALLEL\[3\]\.fifo_din\[3\]_i_1)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I4 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I3 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I2 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "LUT5")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/SERIAL_TO_PARALLEL\[4\]\.fifo_din\[4\]_i_1)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I4 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I3 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I2 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "LUT5")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/SERIAL_TO_PARALLEL\[5\]\.fifo_din\[5\]_i_1)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I4 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I3 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I2 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "LUT5")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/SERIAL_TO_PARALLEL\[6\]\.fifo_din\[6\]_i_1)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I4 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I3 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I2 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "LUT5")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/SERIAL_TO_PARALLEL\[7\]\.fifo_din\[7\]_i_1)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I4 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I3 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I2 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "LUT5")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/SERIAL_TO_PARALLEL\[8\]\.fifo_din\[8\]_i_1)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I4 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I3 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I2 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "LUT3")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/SERIAL_TO_PARALLEL\[8\]\.fifo_din\[8\]_i_2)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I2 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "LUT4")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/fifo_Write_i_1)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I3 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I2 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "LUT5")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/frame_err_ocrd_i_1)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I4 O (46.0:55.0:55.0) (46.0:55.0:55.0))
      (IOPATH I3 O (45.0:54.0:54.0) (45.0:54.0:54.0))
      (IOPATH I2 O (45.0:54.0:54.0) (45.0:54.0:54.0))
      (IOPATH I1 O (44.0:54.0:54.0) (44.0:54.0:54.0))
      (IOPATH I0 O (45.0:54.0:54.0) (45.0:54.0:54.0))
    )
  )
)
(CELL 
  (CELLTYPE "LUT5")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/running_i_1)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I4 O (43.0:51.0:51.0) (43.0:51.0:51.0))
      (IOPATH I3 O (42.0:49.0:49.0) (42.0:49.0:49.0))
      (IOPATH I2 O (44.0:52.0:52.0) (44.0:52.0:52.0))
      (IOPATH I1 O (43.0:51.0:51.0) (43.0:51.0:51.0))
      (IOPATH I0 O (40.0:49.0:49.0) (40.0:49.0:49.0))
    )
  )
)
(CELL 
  (CELLTYPE "LUT5")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/status_reg\[1\]_i_1)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I4 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I3 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I2 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "LUT3")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/status_reg\[1\]_i_2)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I2 O (42.0:50.0:50.0) (42.0:50.0:50.0))
      (IOPATH I1 O (39.0:48.0:48.0) (39.0:48.0:48.0))
      (IOPATH I0 O (44.0:52.0:52.0) (44.0:52.0:52.0))
    )
  )
)
(CELL 
  (CELLTYPE "LUT4")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/stop_Bit_Position_i_1)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I3 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I2 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "FDRE")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_RX_I/INPUT_DOUBLE_REGS3/GENERATE_LEVEL_P_S_CDC\.SINGLE_BIT\.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to)
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (139.0:175.0:175.0) (139.0:175.0:175.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (34.0:34.0:34.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (34.0:34.0:34.0))
      (SETUPHOLD (posedge D) (posedge C) (-53.0:-47.0:-47.0) (143.0:143.0:143.0))
      (SETUPHOLD (negedge D) (posedge C) (-53.0:-47.0:-47.0) (143.0:143.0:143.0))
      (SETUPHOLD (posedge R) (posedge C) (236.0:295.0:295.0) (17.0:17.0:17.0))
      (SETUPHOLD (negedge R) (posedge C) (236.0:295.0:295.0) (17.0:17.0:17.0))
      (PERIOD (posedge C) (610.0:700.0:700.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
    )
)
(CELL 
  (CELLTYPE "FDRE")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_RX_I/INPUT_DOUBLE_REGS3/GENERATE_LEVEL_P_S_CDC\.SINGLE_BIT\.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2)
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (122.0:152.0:152.0) (122.0:152.0:152.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (34.0:34.0:34.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (34.0:34.0:34.0))
      (SETUPHOLD (posedge D) (posedge C) (-60.0:-50.0:-50.0) (133.0:133.0:133.0))
      (SETUPHOLD (negedge D) (posedge C) (-60.0:-50.0:-50.0) (133.0:133.0:133.0))
      (SETUPHOLD (posedge R) (posedge C) (236.0:295.0:295.0) (17.0:17.0:17.0))
      (SETUPHOLD (negedge R) (posedge C) (236.0:295.0:295.0) (17.0:17.0:17.0))
      (PERIOD (posedge C) (653.0:750.0:750.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (348.0:400.0:400.0))
    )
)
(CELL 
  (CELLTYPE "FDRE")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_RX_I/INPUT_DOUBLE_REGS3/GENERATE_LEVEL_P_S_CDC\.SINGLE_BIT\.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3)
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (122.0:152.0:152.0) (122.0:152.0:152.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (34.0:34.0:34.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (34.0:34.0:34.0))
      (SETUPHOLD (posedge D) (posedge C) (-60.0:-50.0:-50.0) (133.0:133.0:133.0))
      (SETUPHOLD (negedge D) (posedge C) (-60.0:-50.0:-50.0) (133.0:133.0:133.0))
      (SETUPHOLD (posedge R) (posedge C) (236.0:295.0:295.0) (17.0:17.0:17.0))
      (SETUPHOLD (negedge R) (posedge C) (236.0:295.0:295.0) (17.0:17.0:17.0))
      (PERIOD (posedge C) (653.0:750.0:750.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (348.0:400.0:400.0))
    )
)
(CELL 
  (CELLTYPE "FDRE")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_RX_I/INPUT_DOUBLE_REGS3/GENERATE_LEVEL_P_S_CDC\.SINGLE_BIT\.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4)
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (139.0:175.0:175.0) (139.0:175.0:175.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (34.0:34.0:34.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (34.0:34.0:34.0))
      (SETUPHOLD (posedge D) (posedge C) (-53.0:-47.0:-47.0) (143.0:143.0:143.0))
      (SETUPHOLD (negedge D) (posedge C) (-53.0:-47.0:-47.0) (143.0:143.0:143.0))
      (SETUPHOLD (posedge R) (posedge C) (236.0:295.0:295.0) (17.0:17.0:17.0))
      (SETUPHOLD (negedge R) (posedge C) (236.0:295.0:295.0) (17.0:17.0:17.0))
      (PERIOD (posedge C) (610.0:700.0:700.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
    )
)
(CELL 
  (CELLTYPE "LUT5")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_RX_I/INPUT_DOUBLE_REGS3/SERIAL_TO_PARALLEL\[1\]\.fifo_din\[1\]_i_1)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I4 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I3 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I2 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "FDRE")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_RX_I/SERIAL_TO_PARALLEL\[1\]\.fifo_din_reg\[1\])
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (139.0:175.0:175.0) (139.0:175.0:175.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (34.0:34.0:34.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (34.0:34.0:34.0))
      (SETUPHOLD (posedge D) (posedge C) (-53.0:-47.0:-47.0) (143.0:143.0:143.0))
      (SETUPHOLD (negedge D) (posedge C) (-53.0:-47.0:-47.0) (143.0:143.0:143.0))
      (SETUPHOLD (posedge R) (posedge C) (236.0:295.0:295.0) (17.0:17.0:17.0))
      (SETUPHOLD (negedge R) (posedge C) (236.0:295.0:295.0) (17.0:17.0:17.0))
      (PERIOD (posedge C) (610.0:700.0:700.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
    )
)
(CELL 
  (CELLTYPE "FDRE")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_RX_I/SERIAL_TO_PARALLEL\[2\]\.fifo_din_reg\[2\])
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (139.0:175.0:175.0) (139.0:175.0:175.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (34.0:34.0:34.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (34.0:34.0:34.0))
      (SETUPHOLD (posedge D) (posedge C) (-53.0:-47.0:-47.0) (143.0:143.0:143.0))
      (SETUPHOLD (negedge D) (posedge C) (-53.0:-47.0:-47.0) (143.0:143.0:143.0))
      (SETUPHOLD (posedge R) (posedge C) (236.0:295.0:295.0) (17.0:17.0:17.0))
      (SETUPHOLD (negedge R) (posedge C) (236.0:295.0:295.0) (17.0:17.0:17.0))
      (PERIOD (posedge C) (610.0:700.0:700.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
    )
)
(CELL 
  (CELLTYPE "FDRE")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_RX_I/SERIAL_TO_PARALLEL\[3\]\.fifo_din_reg\[3\])
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (139.0:175.0:175.0) (139.0:175.0:175.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (34.0:34.0:34.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (34.0:34.0:34.0))
      (SETUPHOLD (posedge D) (posedge C) (-53.0:-47.0:-47.0) (143.0:143.0:143.0))
      (SETUPHOLD (negedge D) (posedge C) (-53.0:-47.0:-47.0) (143.0:143.0:143.0))
      (SETUPHOLD (posedge R) (posedge C) (236.0:295.0:295.0) (17.0:17.0:17.0))
      (SETUPHOLD (negedge R) (posedge C) (236.0:295.0:295.0) (17.0:17.0:17.0))
      (PERIOD (posedge C) (610.0:700.0:700.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
    )
)
(CELL 
  (CELLTYPE "FDRE")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_RX_I/SERIAL_TO_PARALLEL\[4\]\.fifo_din_reg\[4\])
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (132.0:165.0:165.0) (132.0:165.0:165.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (posedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (negedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (posedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (SETUPHOLD (negedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (PERIOD (posedge C) (610.0:700.0:700.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
    )
)
(CELL 
  (CELLTYPE "FDRE")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_RX_I/SERIAL_TO_PARALLEL\[5\]\.fifo_din_reg\[5\])
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (132.0:165.0:165.0) (132.0:165.0:165.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (posedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (negedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (posedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (SETUPHOLD (negedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (PERIOD (posedge C) (610.0:700.0:700.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
    )
)
(CELL 
  (CELLTYPE "FDRE")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_RX_I/SERIAL_TO_PARALLEL\[6\]\.fifo_din_reg\[6\])
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (132.0:165.0:165.0) (132.0:165.0:165.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (posedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (negedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (posedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (SETUPHOLD (negedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (PERIOD (posedge C) (610.0:700.0:700.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
    )
)
(CELL 
  (CELLTYPE "FDRE")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_RX_I/SERIAL_TO_PARALLEL\[7\]\.fifo_din_reg\[7\])
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (132.0:165.0:165.0) (132.0:165.0:165.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (posedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (negedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (posedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (SETUPHOLD (negedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (PERIOD (posedge C) (610.0:700.0:700.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
    )
)
(CELL 
  (CELLTYPE "FDRE")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_RX_I/SERIAL_TO_PARALLEL\[8\]\.fifo_din_reg\[8\])
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (132.0:165.0:165.0) (132.0:165.0:165.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (posedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (negedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (posedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (SETUPHOLD (negedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (PERIOD (posedge C) (610.0:700.0:700.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
    )
)
(CELL 
  (CELLTYPE "LUT6")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/FIFO_Full_i_1)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I5 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I4 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I3 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I2 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "LUT2")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/FIFO_Full_i_2)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "LUT5")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[0\]_i_1)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I4 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I3 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I2 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "LUT6")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[1\]_i_1)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I5 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I4 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I3 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I2 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "LUT5")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[2\]_i_1)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I4 O (43.0:51.0:51.0) (43.0:51.0:51.0))
      (IOPATH I3 O (43.0:51.0:51.0) (43.0:51.0:51.0))
      (IOPATH I2 O (39.0:47.0:47.0) (39.0:47.0:47.0))
      (IOPATH I1 O (40.0:47.0:47.0) (40.0:47.0:47.0))
      (IOPATH I0 O (40.0:47.0:47.0) (40.0:47.0:47.0))
    )
  )
)
(CELL 
  (CELLTYPE "LUT6")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[3\]_i_1)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I5 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I4 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I3 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I2 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "LUT2")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[4\]_i_1)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "LUT6")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[4\]_i_2)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I5 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I4 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I3 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I2 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "LUT3")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[4\]_i_4)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I2 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "LUT3")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[4\]_i_5__0)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I2 O (44.0:52.0:52.0) (44.0:52.0:52.0))
      (IOPATH I1 O (43.0:51.0:51.0) (43.0:51.0:51.0))
      (IOPATH I0 O (40.0:49.0:49.0) (40.0:49.0:49.0))
    )
  )
)
(CELL 
  (CELLTYPE "LUT3")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[4\]_i_6)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I2 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "FDSE")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[0\])
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (132.0:165.0:165.0) (132.0:165.0:165.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (posedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (negedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (posedge S) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (SETUPHOLD (negedge S) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (PERIOD (posedge C) (610.0:700.0:700.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
    )
)
(CELL 
  (CELLTYPE "FDSE")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[1\])
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (132.0:165.0:165.0) (132.0:165.0:165.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (posedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (negedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (posedge S) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (SETUPHOLD (negedge S) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (PERIOD (posedge C) (610.0:700.0:700.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
    )
)
(CELL 
  (CELLTYPE "FDSE")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[2\])
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (139.0:175.0:175.0) (139.0:175.0:175.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (34.0:34.0:34.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (34.0:34.0:34.0))
      (SETUPHOLD (posedge D) (posedge C) (-53.0:-47.0:-47.0) (143.0:143.0:143.0))
      (SETUPHOLD (negedge D) (posedge C) (-53.0:-47.0:-47.0) (143.0:143.0:143.0))
      (SETUPHOLD (posedge S) (posedge C) (236.0:295.0:295.0) (17.0:17.0:17.0))
      (SETUPHOLD (negedge S) (posedge C) (236.0:295.0:295.0) (17.0:17.0:17.0))
      (PERIOD (posedge C) (610.0:700.0:700.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
    )
)
(CELL 
  (CELLTYPE "FDSE")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[3\])
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (139.0:175.0:175.0) (139.0:175.0:175.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (34.0:34.0:34.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (34.0:34.0:34.0))
      (SETUPHOLD (posedge D) (posedge C) (-53.0:-47.0:-47.0) (143.0:143.0:143.0))
      (SETUPHOLD (negedge D) (posedge C) (-53.0:-47.0:-47.0) (143.0:143.0:143.0))
      (SETUPHOLD (posedge S) (posedge C) (236.0:295.0:295.0) (17.0:17.0:17.0))
      (SETUPHOLD (negedge S) (posedge C) (236.0:295.0:295.0) (17.0:17.0:17.0))
      (PERIOD (posedge C) (610.0:700.0:700.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
    )
)
(CELL 
  (CELLTYPE "FDSE")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[4\])
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (139.0:175.0:175.0) (139.0:175.0:175.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (34.0:34.0:34.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (34.0:34.0:34.0))
      (SETUPHOLD (posedge D) (posedge C) (-53.0:-47.0:-47.0) (143.0:143.0:143.0))
      (SETUPHOLD (negedge D) (posedge C) (-53.0:-47.0:-47.0) (143.0:143.0:143.0))
      (SETUPHOLD (posedge S) (posedge C) (236.0:295.0:295.0) (17.0:17.0:17.0))
      (SETUPHOLD (negedge S) (posedge C) (236.0:295.0:295.0) (17.0:17.0:17.0))
      (PERIOD (posedge C) (610.0:700.0:700.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
    )
)
(CELL 
  (CELLTYPE "LUT5")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/Interrupt_i_2)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I4 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I3 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I2 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "SRL16E")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[0\]_srl16)
  (DELAY 
    (ABSOLUTE 
      (IOPATH CLK Q (731.0:916.0:916.0) (731.0:916.0:916.0))
      (IOPATH A3 Q (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH A2 Q (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH A1 Q (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH A0 Q (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge CLK) (270.0:339.0:339.0) (-5.0:-5.0:-5.0))
      (SETUPHOLD (negedge CE) (posedge CLK) (270.0:339.0:339.0) (-5.0:-5.0:-5.0))
      (SETUPHOLD (posedge D) (posedge CLK) (79.0:98.0:98.0) (71.0:71.0:71.0))
      (SETUPHOLD (negedge D) (posedge CLK) (79.0:98.0:98.0) (71.0:71.0:71.0))
      (WIDTH (posedge CLK) (559.0:642.0:642.0))
      (WIDTH (posedge CLK) (559.0:642.0:642.0))
    )
)
(CELL 
  (CELLTYPE "SRL16E")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[1\]_srl16)
  (DELAY 
    (ABSOLUTE 
      (IOPATH CLK Q (721.0:903.0:903.0) (721.0:903.0:903.0))
      (IOPATH A3 Q (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH A2 Q (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH A1 Q (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH A0 Q (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge CLK) (270.0:339.0:339.0) (-5.0:-5.0:-5.0))
      (SETUPHOLD (negedge CE) (posedge CLK) (270.0:339.0:339.0) (-5.0:-5.0:-5.0))
      (SETUPHOLD (posedge D) (posedge CLK) (77.0:97.0:97.0) (81.0:81.0:81.0))
      (SETUPHOLD (negedge D) (posedge CLK) (77.0:97.0:97.0) (81.0:81.0:81.0))
      (WIDTH (posedge CLK) (559.0:642.0:642.0))
      (WIDTH (posedge CLK) (559.0:642.0:642.0))
    )
)
(CELL 
  (CELLTYPE "SRL16E")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[2\]_srl16)
  (DELAY 
    (ABSOLUTE 
      (IOPATH CLK Q (715.0:896.0:896.0) (715.0:896.0:896.0))
      (IOPATH A3 Q (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH A2 Q (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH A1 Q (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH A0 Q (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge CLK) (270.0:339.0:339.0) (-5.0:-5.0:-5.0))
      (SETUPHOLD (negedge CE) (posedge CLK) (270.0:339.0:339.0) (-5.0:-5.0:-5.0))
      (SETUPHOLD (posedge D) (posedge CLK) (91.0:114.0:114.0) (60.0:60.0:60.0))
      (SETUPHOLD (negedge D) (posedge CLK) (91.0:114.0:114.0) (60.0:60.0:60.0))
      (WIDTH (posedge CLK) (559.0:642.0:642.0))
      (WIDTH (posedge CLK) (559.0:642.0:642.0))
    )
)
(CELL 
  (CELLTYPE "SRL16E")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[3\]_srl16)
  (DELAY 
    (ABSOLUTE 
      (IOPATH CLK Q (719.0:901.0:901.0) (719.0:901.0:901.0))
      (IOPATH A3 Q (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH A2 Q (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH A1 Q (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH A0 Q (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge CLK) (270.0:339.0:339.0) (-5.0:-5.0:-5.0))
      (SETUPHOLD (negedge CE) (posedge CLK) (270.0:339.0:339.0) (-5.0:-5.0:-5.0))
      (SETUPHOLD (posedge D) (posedge CLK) (81.0:101.0:101.0) (76.0:76.0:76.0))
      (SETUPHOLD (negedge D) (posedge CLK) (81.0:101.0:101.0) (76.0:76.0:76.0))
      (WIDTH (posedge CLK) (559.0:642.0:642.0))
      (WIDTH (posedge CLK) (559.0:642.0:642.0))
    )
)
(CELL 
  (CELLTYPE "SRL16E")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[4\]_srl16)
  (DELAY 
    (ABSOLUTE 
      (IOPATH CLK Q (721.0:903.0:903.0) (721.0:903.0:903.0))
      (IOPATH A3 Q (39.0:47.0:47.0) (39.0:47.0:47.0))
      (IOPATH A2 Q (43.0:51.0:51.0) (43.0:51.0:51.0))
      (IOPATH A1 Q (43.0:51.0:51.0) (43.0:51.0:51.0))
      (IOPATH A0 Q (40.0:47.0:47.0) (40.0:47.0:47.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge CLK) (270.0:339.0:339.0) (-5.0:-5.0:-5.0))
      (SETUPHOLD (negedge CE) (posedge CLK) (270.0:339.0:339.0) (-5.0:-5.0:-5.0))
      (SETUPHOLD (posedge D) (posedge CLK) (71.0:89.0:89.0) (87.0:87.0:87.0))
      (SETUPHOLD (negedge D) (posedge CLK) (71.0:89.0:89.0) (87.0:87.0:87.0))
      (WIDTH (posedge CLK) (559.0:642.0:642.0))
      (WIDTH (posedge CLK) (559.0:642.0:642.0))
    )
)
(CELL 
  (CELLTYPE "SRL16E")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[5\]_srl16)
  (DELAY 
    (ABSOLUTE 
      (IOPATH CLK Q (725.0:909.0:909.0) (725.0:909.0:909.0))
      (IOPATH A3 Q (43.0:51.0:51.0) (43.0:51.0:51.0))
      (IOPATH A2 Q (45.0:53.0:53.0) (45.0:53.0:53.0))
      (IOPATH A1 Q (45.0:53.0:53.0) (45.0:53.0:53.0))
      (IOPATH A0 Q (43.0:51.0:51.0) (43.0:51.0:51.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge CLK) (270.0:339.0:339.0) (-5.0:-5.0:-5.0))
      (SETUPHOLD (negedge CE) (posedge CLK) (270.0:339.0:339.0) (-5.0:-5.0:-5.0))
      (SETUPHOLD (posedge D) (posedge CLK) (74.0:93.0:93.0) (82.0:82.0:82.0))
      (SETUPHOLD (negedge D) (posedge CLK) (74.0:93.0:93.0) (82.0:82.0:82.0))
      (WIDTH (posedge CLK) (559.0:642.0:642.0))
      (WIDTH (posedge CLK) (559.0:642.0:642.0))
    )
)
(CELL 
  (CELLTYPE "SRL16E")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[6\]_srl16)
  (DELAY 
    (ABSOLUTE 
      (IOPATH CLK Q (716.0:897.0:897.0) (716.0:897.0:897.0))
      (IOPATH A3 Q (38.0:45.0:45.0) (38.0:45.0:45.0))
      (IOPATH A2 Q (42.0:49.0:49.0) (42.0:49.0:49.0))
      (IOPATH A1 Q (42.0:50.0:50.0) (42.0:50.0:50.0))
      (IOPATH A0 Q (39.0:46.0:46.0) (39.0:46.0:46.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge CLK) (270.0:339.0:339.0) (-5.0:-5.0:-5.0))
      (SETUPHOLD (negedge CE) (posedge CLK) (270.0:339.0:339.0) (-5.0:-5.0:-5.0))
      (SETUPHOLD (posedge D) (posedge CLK) (82.0:103.0:103.0) (70.0:70.0:70.0))
      (SETUPHOLD (negedge D) (posedge CLK) (82.0:103.0:103.0) (70.0:70.0:70.0))
      (WIDTH (posedge CLK) (559.0:642.0:642.0))
      (WIDTH (posedge CLK) (559.0:642.0:642.0))
    )
)
(CELL 
  (CELLTYPE "SRL16E")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[7\]_srl16)
  (DELAY 
    (ABSOLUTE 
      (IOPATH CLK Q (727.0:911.0:911.0) (727.0:911.0:911.0))
      (IOPATH A3 Q (38.0:46.0:46.0) (38.0:46.0:46.0))
      (IOPATH A2 Q (42.0:50.0:50.0) (42.0:50.0:50.0))
      (IOPATH A1 Q (43.0:51.0:51.0) (43.0:51.0:51.0))
      (IOPATH A0 Q (40.0:47.0:47.0) (40.0:47.0:47.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge CLK) (270.0:339.0:339.0) (-5.0:-5.0:-5.0))
      (SETUPHOLD (negedge CE) (posedge CLK) (270.0:339.0:339.0) (-5.0:-5.0:-5.0))
      (SETUPHOLD (posedge D) (posedge CLK) (-3.0:-2.0:-2.0) (176.0:176.0:176.0))
      (SETUPHOLD (negedge D) (posedge CLK) (-3.0:-2.0:-2.0) (176.0:176.0:176.0))
      (WIDTH (posedge CLK) (559.0:642.0:642.0))
      (WIDTH (posedge CLK) (559.0:642.0:642.0))
    )
)
(CELL 
  (CELLTYPE "LUT3")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[7\]_srl16_i_1__0)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I2 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "FDRE")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/FIFO_Full_reg)
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (139.0:175.0:175.0) (139.0:175.0:175.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (34.0:34.0:34.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (34.0:34.0:34.0))
      (SETUPHOLD (posedge D) (posedge C) (-53.0:-47.0:-47.0) (143.0:143.0:143.0))
      (SETUPHOLD (negedge D) (posedge C) (-53.0:-47.0:-47.0) (143.0:143.0:143.0))
      (SETUPHOLD (posedge R) (posedge C) (236.0:295.0:295.0) (17.0:17.0:17.0))
      (SETUPHOLD (negedge R) (posedge C) (236.0:295.0:295.0) (17.0:17.0:17.0))
      (PERIOD (posedge C) (610.0:700.0:700.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
    )
)
(CELL 
  (CELLTYPE "LUT5")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/status_reg\[2\]_i_1)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I4 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I3 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I2 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "FDRE")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_RX_I/fifo_Write_reg)
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (132.0:165.0:165.0) (132.0:165.0:165.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (posedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (negedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (posedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (SETUPHOLD (negedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (PERIOD (posedge C) (610.0:700.0:700.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
    )
)
(CELL 
  (CELLTYPE "FDRE")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_RX_I/frame_err_ocrd_reg)
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (116.0:146.0:146.0) (116.0:146.0:146.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (posedge D) (posedge C) (-52.0:-42.0:-42.0) (126.0:126.0:126.0))
      (SETUPHOLD (negedge D) (posedge C) (-52.0:-42.0:-42.0) (126.0:126.0:126.0))
      (SETUPHOLD (posedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (SETUPHOLD (negedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (PERIOD (posedge C) (653.0:750.0:750.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (348.0:400.0:400.0))
    )
)
(CELL 
  (CELLTYPE "FDRE")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_RX_I/running_reg)
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (132.0:165.0:165.0) (132.0:165.0:165.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (posedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (negedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (posedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (SETUPHOLD (negedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (PERIOD (posedge C) (610.0:700.0:700.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
    )
)
(CELL 
  (CELLTYPE "FDRE")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_RX_I/rx_1_reg)
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (132.0:165.0:165.0) (132.0:165.0:165.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (posedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (negedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (posedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (SETUPHOLD (negedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (PERIOD (posedge C) (610.0:700.0:700.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
    )
)
(CELL 
  (CELLTYPE "FDRE")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_RX_I/rx_2_reg)
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (122.0:152.0:152.0) (122.0:152.0:152.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (34.0:34.0:34.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (34.0:34.0:34.0))
      (SETUPHOLD (posedge D) (posedge C) (-60.0:-50.0:-50.0) (133.0:133.0:133.0))
      (SETUPHOLD (negedge D) (posedge C) (-60.0:-50.0:-50.0) (133.0:133.0:133.0))
      (SETUPHOLD (posedge R) (posedge C) (236.0:295.0:295.0) (17.0:17.0:17.0))
      (SETUPHOLD (negedge R) (posedge C) (236.0:295.0:295.0) (17.0:17.0:17.0))
      (PERIOD (posedge C) (653.0:750.0:750.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (348.0:400.0:400.0))
    )
)
(CELL 
  (CELLTYPE "FDRE")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_RX_I/rx_3_reg)
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (139.0:175.0:175.0) (139.0:175.0:175.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (34.0:34.0:34.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (34.0:34.0:34.0))
      (SETUPHOLD (posedge D) (posedge C) (-53.0:-47.0:-47.0) (143.0:143.0:143.0))
      (SETUPHOLD (negedge D) (posedge C) (-53.0:-47.0:-47.0) (143.0:143.0:143.0))
      (SETUPHOLD (posedge R) (posedge C) (236.0:295.0:295.0) (17.0:17.0:17.0))
      (SETUPHOLD (negedge R) (posedge C) (236.0:295.0:295.0) (17.0:17.0:17.0))
      (PERIOD (posedge C) (610.0:700.0:700.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
    )
)
(CELL 
  (CELLTYPE "FDRE")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_RX_I/rx_4_reg)
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (139.0:175.0:175.0) (139.0:175.0:175.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (34.0:34.0:34.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (34.0:34.0:34.0))
      (SETUPHOLD (posedge D) (posedge C) (-53.0:-47.0:-47.0) (143.0:143.0:143.0))
      (SETUPHOLD (negedge D) (posedge C) (-53.0:-47.0:-47.0) (143.0:143.0:143.0))
      (SETUPHOLD (posedge R) (posedge C) (236.0:295.0:295.0) (17.0:17.0:17.0))
      (SETUPHOLD (negedge R) (posedge C) (236.0:295.0:295.0) (17.0:17.0:17.0))
      (PERIOD (posedge C) (610.0:700.0:700.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
    )
)
(CELL 
  (CELLTYPE "FDRE")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_RX_I/rx_5_reg)
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (139.0:175.0:175.0) (139.0:175.0:175.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (34.0:34.0:34.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (34.0:34.0:34.0))
      (SETUPHOLD (posedge D) (posedge C) (-53.0:-47.0:-47.0) (143.0:143.0:143.0))
      (SETUPHOLD (negedge D) (posedge C) (-53.0:-47.0:-47.0) (143.0:143.0:143.0))
      (SETUPHOLD (posedge R) (posedge C) (236.0:295.0:295.0) (17.0:17.0:17.0))
      (SETUPHOLD (negedge R) (posedge C) (236.0:295.0:295.0) (17.0:17.0:17.0))
      (PERIOD (posedge C) (610.0:700.0:700.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
    )
)
(CELL 
  (CELLTYPE "FDRE")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_RX_I/rx_6_reg)
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (122.0:152.0:152.0) (122.0:152.0:152.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (34.0:34.0:34.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (34.0:34.0:34.0))
      (SETUPHOLD (posedge D) (posedge C) (-60.0:-50.0:-50.0) (133.0:133.0:133.0))
      (SETUPHOLD (negedge D) (posedge C) (-60.0:-50.0:-50.0) (133.0:133.0:133.0))
      (SETUPHOLD (posedge R) (posedge C) (236.0:295.0:295.0) (17.0:17.0:17.0))
      (SETUPHOLD (negedge R) (posedge C) (236.0:295.0:295.0) (17.0:17.0:17.0))
      (PERIOD (posedge C) (653.0:750.0:750.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (348.0:400.0:400.0))
    )
)
(CELL 
  (CELLTYPE "FDRE")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_RX_I/rx_7_reg)
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (132.0:165.0:165.0) (132.0:165.0:165.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (posedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (negedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (posedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (SETUPHOLD (negedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (PERIOD (posedge C) (610.0:700.0:700.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
    )
)
(CELL 
  (CELLTYPE "FDRE")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_RX_I/rx_8_reg)
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (132.0:165.0:165.0) (132.0:165.0:165.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (posedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (negedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (posedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (SETUPHOLD (negedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (PERIOD (posedge C) (610.0:700.0:700.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
    )
)
(CELL 
  (CELLTYPE "FDRE")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_RX_I/rx_9_reg)
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (132.0:165.0:165.0) (132.0:165.0:165.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (posedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (negedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (posedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (SETUPHOLD (negedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (PERIOD (posedge C) (610.0:700.0:700.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
    )
)
(CELL 
  (CELLTYPE "LUT6")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_RX_I/start_Edge_Detected_i_1)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I5 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I4 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I3 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I2 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "LUT6")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_RX_I/start_Edge_Detected_i_2)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I5 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I4 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I3 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I2 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "FDRE")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_RX_I/start_Edge_Detected_reg)
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (139.0:175.0:175.0) (139.0:175.0:175.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (34.0:34.0:34.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (34.0:34.0:34.0))
      (SETUPHOLD (posedge D) (posedge C) (-53.0:-47.0:-47.0) (143.0:143.0:143.0))
      (SETUPHOLD (negedge D) (posedge C) (-53.0:-47.0:-47.0) (143.0:143.0:143.0))
      (SETUPHOLD (posedge R) (posedge C) (236.0:295.0:295.0) (17.0:17.0:17.0))
      (SETUPHOLD (negedge R) (posedge C) (236.0:295.0:295.0) (17.0:17.0:17.0))
      (PERIOD (posedge C) (610.0:700.0:700.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
    )
)
(CELL 
  (CELLTYPE "FDRE")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_RX_I/stop_Bit_Position_reg)
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (132.0:165.0:165.0) (132.0:165.0:165.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (posedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (negedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (posedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (SETUPHOLD (negedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (PERIOD (posedge C) (610.0:700.0:700.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
    )
)
(CELL 
  (CELLTYPE "LUT3")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_RX_I/valid_rx_i_1)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I2 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "FDRE")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_RX_I/valid_rx_reg)
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (132.0:165.0:165.0) (132.0:165.0:165.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (posedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (negedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (posedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (SETUPHOLD (negedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (PERIOD (posedge C) (610.0:700.0:700.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
    )
)
(CELL 
  (CELLTYPE "SRL16E")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_TX_I/MID_START_BIT_SRL16_I/INFERRED_GEN\.data_reg\[14\]\[0\]_srl15)
  (DELAY 
    (ABSOLUTE 
      (IOPATH CLK Q (721.0:903.0:903.0) (721.0:903.0:903.0))
      (IOPATH A3 Q (39.0:47.0:47.0) (39.0:47.0:47.0))
      (IOPATH A2 Q (43.0:51.0:51.0) (43.0:51.0:51.0))
      (IOPATH A1 Q (43.0:51.0:51.0) (43.0:51.0:51.0))
      (IOPATH A0 Q (40.0:47.0:47.0) (40.0:47.0:47.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge CLK) (270.0:339.0:339.0) (-5.0:-5.0:-5.0))
      (SETUPHOLD (negedge CE) (posedge CLK) (270.0:339.0:339.0) (-5.0:-5.0:-5.0))
      (SETUPHOLD (posedge D) (posedge CLK) (71.0:89.0:89.0) (87.0:87.0:87.0))
      (SETUPHOLD (negedge D) (posedge CLK) (71.0:89.0:89.0) (87.0:87.0:87.0))
      (WIDTH (posedge CLK) (559.0:642.0:642.0))
      (WIDTH (posedge CLK) (559.0:642.0:642.0))
    )
)
(CELL 
  (CELLTYPE "FDRE")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_TX_I/MID_START_BIT_SRL16_I/INFERRED_GEN\.data_reg\[15\]\[0\])
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (122.0:152.0:152.0) (122.0:152.0:152.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (34.0:34.0:34.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (34.0:34.0:34.0))
      (SETUPHOLD (posedge D) (posedge C) (-60.0:-50.0:-50.0) (133.0:133.0:133.0))
      (SETUPHOLD (negedge D) (posedge C) (-60.0:-50.0:-50.0) (133.0:133.0:133.0))
      (SETUPHOLD (posedge R) (posedge C) (236.0:295.0:295.0) (17.0:17.0:17.0))
      (SETUPHOLD (negedge R) (posedge C) (236.0:295.0:295.0) (17.0:17.0:17.0))
      (PERIOD (posedge C) (653.0:750.0:750.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (348.0:400.0:400.0))
    )
)
(CELL 
  (CELLTYPE "LUT3")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_TX_I/MID_START_BIT_SRL16_I/tx_Data_Enable_i_1)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I2 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "LUT6")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/FIFO_Full_i_1__0)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I5 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I4 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I3 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I2 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "LUT2")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/FIFO_Full_i_2__0)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "LUT6")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[0\]_i_1__0)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I5 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I4 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I3 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I2 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "LUT5")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[1\]_i_1__0)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I4 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I3 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I2 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "LUT6")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[2\]_i_1__0)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I5 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I4 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I3 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I2 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "LUT6")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[3\]_i_1__0)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I5 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I4 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I3 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I2 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "LUT2")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[3\]_i_2__0)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I1 O (39.0:47.0:47.0) (39.0:47.0:47.0))
      (IOPATH I0 O (40.0:47.0:47.0) (40.0:47.0:47.0))
    )
  )
)
(CELL 
  (CELLTYPE "LUT2")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[4\]_i_1__0)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "LUT6")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[4\]_i_2__0)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I5 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I4 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I3 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I2 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "LUT4")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[4\]_i_3__0)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I3 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I2 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "LUT3")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[4\]_i_4__0)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I2 O (38.0:46.0:46.0) (38.0:46.0:46.0))
      (IOPATH I1 O (40.0:47.0:47.0) (40.0:47.0:47.0))
      (IOPATH I0 O (40.0:47.0:47.0) (40.0:47.0:47.0))
    )
  )
)
(CELL 
  (CELLTYPE "FDSE")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[0\])
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (132.0:165.0:165.0) (132.0:165.0:165.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (posedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (negedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (posedge S) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (SETUPHOLD (negedge S) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (PERIOD (posedge C) (610.0:700.0:700.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
    )
)
(CELL 
  (CELLTYPE "FDSE")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[1\])
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (139.0:175.0:175.0) (139.0:175.0:175.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (34.0:34.0:34.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (34.0:34.0:34.0))
      (SETUPHOLD (posedge D) (posedge C) (-53.0:-47.0:-47.0) (143.0:143.0:143.0))
      (SETUPHOLD (negedge D) (posedge C) (-53.0:-47.0:-47.0) (143.0:143.0:143.0))
      (SETUPHOLD (posedge S) (posedge C) (236.0:295.0:295.0) (17.0:17.0:17.0))
      (SETUPHOLD (negedge S) (posedge C) (236.0:295.0:295.0) (17.0:17.0:17.0))
      (PERIOD (posedge C) (610.0:700.0:700.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
    )
)
(CELL 
  (CELLTYPE "FDSE")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[2\])
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (132.0:165.0:165.0) (132.0:165.0:165.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (posedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (negedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (posedge S) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (SETUPHOLD (negedge S) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (PERIOD (posedge C) (610.0:700.0:700.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
    )
)
(CELL 
  (CELLTYPE "FDSE")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[3\])
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (139.0:175.0:175.0) (139.0:175.0:175.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (34.0:34.0:34.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (34.0:34.0:34.0))
      (SETUPHOLD (posedge D) (posedge C) (-53.0:-47.0:-47.0) (143.0:143.0:143.0))
      (SETUPHOLD (negedge D) (posedge C) (-53.0:-47.0:-47.0) (143.0:143.0:143.0))
      (SETUPHOLD (posedge S) (posedge C) (236.0:295.0:295.0) (17.0:17.0:17.0))
      (SETUPHOLD (negedge S) (posedge C) (236.0:295.0:295.0) (17.0:17.0:17.0))
      (PERIOD (posedge C) (610.0:700.0:700.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
    )
)
(CELL 
  (CELLTYPE "FDSE")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[4\])
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (139.0:175.0:175.0) (139.0:175.0:175.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (34.0:34.0:34.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (34.0:34.0:34.0))
      (SETUPHOLD (posedge D) (posedge C) (-53.0:-47.0:-47.0) (143.0:143.0:143.0))
      (SETUPHOLD (negedge D) (posedge C) (-53.0:-47.0:-47.0) (143.0:143.0:143.0))
      (SETUPHOLD (posedge S) (posedge C) (236.0:295.0:295.0) (17.0:17.0:17.0))
      (SETUPHOLD (negedge S) (posedge C) (236.0:295.0:295.0) (17.0:17.0:17.0))
      (PERIOD (posedge C) (610.0:700.0:700.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
    )
)
(CELL 
  (CELLTYPE "LUT4")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/tx_Start_i_1)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I3 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I2 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "SRL16E")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[0\]_srl16)
  (DELAY 
    (ABSOLUTE 
      (IOPATH CLK Q (731.0:916.0:916.0) (731.0:916.0:916.0))
      (IOPATH A3 Q (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH A2 Q (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH A1 Q (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH A0 Q (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge CLK) (270.0:339.0:339.0) (-5.0:-5.0:-5.0))
      (SETUPHOLD (negedge CE) (posedge CLK) (270.0:339.0:339.0) (-5.0:-5.0:-5.0))
      (SETUPHOLD (posedge D) (posedge CLK) (79.0:98.0:98.0) (71.0:71.0:71.0))
      (SETUPHOLD (negedge D) (posedge CLK) (79.0:98.0:98.0) (71.0:71.0:71.0))
      (WIDTH (posedge CLK) (559.0:642.0:642.0))
      (WIDTH (posedge CLK) (559.0:642.0:642.0))
    )
)
(CELL 
  (CELLTYPE "SRL16E")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[1\]_srl16)
  (DELAY 
    (ABSOLUTE 
      (IOPATH CLK Q (721.0:903.0:903.0) (721.0:903.0:903.0))
      (IOPATH A3 Q (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH A2 Q (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH A1 Q (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH A0 Q (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge CLK) (270.0:339.0:339.0) (-5.0:-5.0:-5.0))
      (SETUPHOLD (negedge CE) (posedge CLK) (270.0:339.0:339.0) (-5.0:-5.0:-5.0))
      (SETUPHOLD (posedge D) (posedge CLK) (77.0:97.0:97.0) (81.0:81.0:81.0))
      (SETUPHOLD (negedge D) (posedge CLK) (77.0:97.0:97.0) (81.0:81.0:81.0))
      (WIDTH (posedge CLK) (559.0:642.0:642.0))
      (WIDTH (posedge CLK) (559.0:642.0:642.0))
    )
)
(CELL 
  (CELLTYPE "SRL16E")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[2\]_srl16)
  (DELAY 
    (ABSOLUTE 
      (IOPATH CLK Q (715.0:896.0:896.0) (715.0:896.0:896.0))
      (IOPATH A3 Q (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH A2 Q (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH A1 Q (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH A0 Q (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge CLK) (270.0:339.0:339.0) (-5.0:-5.0:-5.0))
      (SETUPHOLD (negedge CE) (posedge CLK) (270.0:339.0:339.0) (-5.0:-5.0:-5.0))
      (SETUPHOLD (posedge D) (posedge CLK) (91.0:114.0:114.0) (60.0:60.0:60.0))
      (SETUPHOLD (negedge D) (posedge CLK) (91.0:114.0:114.0) (60.0:60.0:60.0))
      (WIDTH (posedge CLK) (559.0:642.0:642.0))
      (WIDTH (posedge CLK) (559.0:642.0:642.0))
    )
)
(CELL 
  (CELLTYPE "SRL16E")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[3\]_srl16)
  (DELAY 
    (ABSOLUTE 
      (IOPATH CLK Q (719.0:901.0:901.0) (719.0:901.0:901.0))
      (IOPATH A3 Q (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH A2 Q (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH A1 Q (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH A0 Q (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge CLK) (270.0:339.0:339.0) (-5.0:-5.0:-5.0))
      (SETUPHOLD (negedge CE) (posedge CLK) (270.0:339.0:339.0) (-5.0:-5.0:-5.0))
      (SETUPHOLD (posedge D) (posedge CLK) (81.0:101.0:101.0) (76.0:76.0:76.0))
      (SETUPHOLD (negedge D) (posedge CLK) (81.0:101.0:101.0) (76.0:76.0:76.0))
      (WIDTH (posedge CLK) (559.0:642.0:642.0))
      (WIDTH (posedge CLK) (559.0:642.0:642.0))
    )
)
(CELL 
  (CELLTYPE "SRL16E")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[4\]_srl16)
  (DELAY 
    (ABSOLUTE 
      (IOPATH CLK Q (721.0:903.0:903.0) (721.0:903.0:903.0))
      (IOPATH A3 Q (39.0:47.0:47.0) (39.0:47.0:47.0))
      (IOPATH A2 Q (43.0:51.0:51.0) (43.0:51.0:51.0))
      (IOPATH A1 Q (43.0:51.0:51.0) (43.0:51.0:51.0))
      (IOPATH A0 Q (40.0:47.0:47.0) (40.0:47.0:47.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge CLK) (270.0:339.0:339.0) (-5.0:-5.0:-5.0))
      (SETUPHOLD (negedge CE) (posedge CLK) (270.0:339.0:339.0) (-5.0:-5.0:-5.0))
      (SETUPHOLD (posedge D) (posedge CLK) (71.0:89.0:89.0) (87.0:87.0:87.0))
      (SETUPHOLD (negedge D) (posedge CLK) (71.0:89.0:89.0) (87.0:87.0:87.0))
      (WIDTH (posedge CLK) (559.0:642.0:642.0))
      (WIDTH (posedge CLK) (559.0:642.0:642.0))
    )
)
(CELL 
  (CELLTYPE "SRL16E")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[5\]_srl16)
  (DELAY 
    (ABSOLUTE 
      (IOPATH CLK Q (725.0:909.0:909.0) (725.0:909.0:909.0))
      (IOPATH A3 Q (43.0:51.0:51.0) (43.0:51.0:51.0))
      (IOPATH A2 Q (45.0:53.0:53.0) (45.0:53.0:53.0))
      (IOPATH A1 Q (45.0:53.0:53.0) (45.0:53.0:53.0))
      (IOPATH A0 Q (43.0:51.0:51.0) (43.0:51.0:51.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge CLK) (270.0:339.0:339.0) (-5.0:-5.0:-5.0))
      (SETUPHOLD (negedge CE) (posedge CLK) (270.0:339.0:339.0) (-5.0:-5.0:-5.0))
      (SETUPHOLD (posedge D) (posedge CLK) (74.0:93.0:93.0) (82.0:82.0:82.0))
      (SETUPHOLD (negedge D) (posedge CLK) (74.0:93.0:93.0) (82.0:82.0:82.0))
      (WIDTH (posedge CLK) (559.0:642.0:642.0))
      (WIDTH (posedge CLK) (559.0:642.0:642.0))
    )
)
(CELL 
  (CELLTYPE "SRL16E")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[6\]_srl16)
  (DELAY 
    (ABSOLUTE 
      (IOPATH CLK Q (716.0:897.0:897.0) (716.0:897.0:897.0))
      (IOPATH A3 Q (38.0:45.0:45.0) (38.0:45.0:45.0))
      (IOPATH A2 Q (42.0:49.0:49.0) (42.0:49.0:49.0))
      (IOPATH A1 Q (42.0:50.0:50.0) (42.0:50.0:50.0))
      (IOPATH A0 Q (39.0:46.0:46.0) (39.0:46.0:46.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge CLK) (270.0:339.0:339.0) (-5.0:-5.0:-5.0))
      (SETUPHOLD (negedge CE) (posedge CLK) (270.0:339.0:339.0) (-5.0:-5.0:-5.0))
      (SETUPHOLD (posedge D) (posedge CLK) (82.0:103.0:103.0) (70.0:70.0:70.0))
      (SETUPHOLD (negedge D) (posedge CLK) (82.0:103.0:103.0) (70.0:70.0:70.0))
      (WIDTH (posedge CLK) (559.0:642.0:642.0))
      (WIDTH (posedge CLK) (559.0:642.0:642.0))
    )
)
(CELL 
  (CELLTYPE "SRL16E")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[7\]_srl16)
  (DELAY 
    (ABSOLUTE 
      (IOPATH CLK Q (727.0:911.0:911.0) (727.0:911.0:911.0))
      (IOPATH A3 Q (38.0:46.0:46.0) (38.0:46.0:46.0))
      (IOPATH A2 Q (42.0:50.0:50.0) (42.0:50.0:50.0))
      (IOPATH A1 Q (43.0:51.0:51.0) (43.0:51.0:51.0))
      (IOPATH A0 Q (40.0:47.0:47.0) (40.0:47.0:47.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge CLK) (270.0:339.0:339.0) (-5.0:-5.0:-5.0))
      (SETUPHOLD (negedge CE) (posedge CLK) (270.0:339.0:339.0) (-5.0:-5.0:-5.0))
      (SETUPHOLD (posedge D) (posedge CLK) (-3.0:-2.0:-2.0) (176.0:176.0:176.0))
      (SETUPHOLD (negedge D) (posedge CLK) (-3.0:-2.0:-2.0) (176.0:176.0:176.0))
      (WIDTH (posedge CLK) (559.0:642.0:642.0))
      (WIDTH (posedge CLK) (559.0:642.0:642.0))
    )
)
(CELL 
  (CELLTYPE "LUT4")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/serial_Data_i_1)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I3 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I2 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "LUT5")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/serial_Data_i_2)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I4 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I3 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I2 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "LUT5")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/serial_Data_i_3)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I4 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I3 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I2 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "LUT5")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/serial_Data_i_4)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I4 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I3 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I2 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "LUT5")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/serial_Data_i_5)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I4 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I3 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I2 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "FDRE")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/FIFO_Full_reg)
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (132.0:165.0:165.0) (132.0:165.0:165.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (posedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (negedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (posedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (SETUPHOLD (negedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (PERIOD (posedge C) (610.0:700.0:700.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
    )
)
(CELL 
  (CELLTYPE "LUT3")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_TX_I/TX_i_1)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I2 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "FDSE")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_TX_I/TX_reg)
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (139.0:175.0:175.0) (139.0:175.0:175.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (34.0:34.0:34.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (34.0:34.0:34.0))
      (SETUPHOLD (posedge D) (posedge C) (-53.0:-47.0:-47.0) (143.0:143.0:143.0))
      (SETUPHOLD (negedge D) (posedge C) (-53.0:-47.0:-47.0) (143.0:143.0:143.0))
      (SETUPHOLD (posedge S) (posedge C) (236.0:295.0:295.0) (17.0:17.0:17.0))
      (SETUPHOLD (negedge S) (posedge C) (236.0:295.0:295.0) (17.0:17.0:17.0))
      (PERIOD (posedge C) (610.0:700.0:700.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
    )
)
(CELL 
  (CELLTYPE "LUT4")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_TX_I/fifo_Read_i_1)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I3 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I2 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "FDRE")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_TX_I/fifo_Read_reg)
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (139.0:175.0:175.0) (139.0:175.0:175.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (34.0:34.0:34.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (34.0:34.0:34.0))
      (SETUPHOLD (posedge D) (posedge C) (-53.0:-47.0:-47.0) (143.0:143.0:143.0))
      (SETUPHOLD (negedge D) (posedge C) (-53.0:-47.0:-47.0) (143.0:143.0:143.0))
      (SETUPHOLD (posedge R) (posedge C) (236.0:295.0:295.0) (17.0:17.0:17.0))
      (SETUPHOLD (negedge R) (posedge C) (236.0:295.0:295.0) (17.0:17.0:17.0))
      (PERIOD (posedge C) (610.0:700.0:700.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
    )
)
(CELL 
  (CELLTYPE "LUT5")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_TX_I/mux_sel\[0\]_i_1)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I4 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I3 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I2 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "LUT5")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_TX_I/mux_sel\[1\]_i_1)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I4 O (43.0:51.0:51.0) (43.0:51.0:51.0))
      (IOPATH I3 O (45.0:53.0:53.0) (45.0:53.0:53.0))
      (IOPATH I2 O (43.0:51.0:51.0) (43.0:51.0:51.0))
      (IOPATH I1 O (45.0:53.0:53.0) (45.0:53.0:53.0))
      (IOPATH I0 O (43.0:51.0:51.0) (43.0:51.0:51.0))
    )
  )
)
(CELL 
  (CELLTYPE "LUT5")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_TX_I/mux_sel\[2\]_i_1)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I4 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I3 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I2 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "FDSE")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_TX_I/mux_sel_reg\[0\])
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (139.0:175.0:175.0) (139.0:175.0:175.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (34.0:34.0:34.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (34.0:34.0:34.0))
      (SETUPHOLD (posedge D) (posedge C) (-53.0:-47.0:-47.0) (143.0:143.0:143.0))
      (SETUPHOLD (negedge D) (posedge C) (-53.0:-47.0:-47.0) (143.0:143.0:143.0))
      (SETUPHOLD (posedge S) (posedge C) (236.0:295.0:295.0) (17.0:17.0:17.0))
      (SETUPHOLD (negedge S) (posedge C) (236.0:295.0:295.0) (17.0:17.0:17.0))
      (PERIOD (posedge C) (610.0:700.0:700.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
    )
)
(CELL 
  (CELLTYPE "FDSE")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_TX_I/mux_sel_reg\[1\])
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (122.0:152.0:152.0) (122.0:152.0:152.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (34.0:34.0:34.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (34.0:34.0:34.0))
      (SETUPHOLD (posedge D) (posedge C) (-60.0:-50.0:-50.0) (133.0:133.0:133.0))
      (SETUPHOLD (negedge D) (posedge C) (-60.0:-50.0:-50.0) (133.0:133.0:133.0))
      (SETUPHOLD (posedge S) (posedge C) (236.0:295.0:295.0) (17.0:17.0:17.0))
      (SETUPHOLD (negedge S) (posedge C) (236.0:295.0:295.0) (17.0:17.0:17.0))
      (PERIOD (posedge C) (653.0:750.0:750.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (348.0:400.0:400.0))
    )
)
(CELL 
  (CELLTYPE "FDSE")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_TX_I/mux_sel_reg\[2\])
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (139.0:175.0:175.0) (139.0:175.0:175.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (34.0:34.0:34.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (34.0:34.0:34.0))
      (SETUPHOLD (posedge D) (posedge C) (-53.0:-47.0:-47.0) (143.0:143.0:143.0))
      (SETUPHOLD (negedge D) (posedge C) (-53.0:-47.0:-47.0) (143.0:143.0:143.0))
      (SETUPHOLD (posedge S) (posedge C) (236.0:295.0:295.0) (17.0:17.0:17.0))
      (SETUPHOLD (negedge S) (posedge C) (236.0:295.0:295.0) (17.0:17.0:17.0))
      (PERIOD (posedge C) (610.0:700.0:700.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
    )
)
(CELL 
  (CELLTYPE "FDRE")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_TX_I/serial_Data_reg)
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (139.0:175.0:175.0) (139.0:175.0:175.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (34.0:34.0:34.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (34.0:34.0:34.0))
      (SETUPHOLD (posedge D) (posedge C) (-53.0:-47.0:-47.0) (143.0:143.0:143.0))
      (SETUPHOLD (negedge D) (posedge C) (-53.0:-47.0:-47.0) (143.0:143.0:143.0))
      (SETUPHOLD (posedge R) (posedge C) (236.0:295.0:295.0) (17.0:17.0:17.0))
      (SETUPHOLD (negedge R) (posedge C) (236.0:295.0:295.0) (17.0:17.0:17.0))
      (PERIOD (posedge C) (610.0:700.0:700.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
    )
)
(CELL 
  (CELLTYPE "LUT4")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_TX_I/tx_DataBits_i_1)
  (DELAY 
    (PATHPULSE (50.0))
    (ABSOLUTE 
      (IOPATH I3 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I2 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I1 O (36.0:43.0:43.0) (36.0:43.0:43.0))
      (IOPATH I0 O (36.0:43.0:43.0) (36.0:43.0:43.0))
    )
  )
)
(CELL 
  (CELLTYPE "FDRE")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_TX_I/tx_DataBits_reg)
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (139.0:175.0:175.0) (139.0:175.0:175.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (34.0:34.0:34.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (34.0:34.0:34.0))
      (SETUPHOLD (posedge D) (posedge C) (-53.0:-47.0:-47.0) (143.0:143.0:143.0))
      (SETUPHOLD (negedge D) (posedge C) (-53.0:-47.0:-47.0) (143.0:143.0:143.0))
      (SETUPHOLD (posedge R) (posedge C) (236.0:295.0:295.0) (17.0:17.0:17.0))
      (SETUPHOLD (negedge R) (posedge C) (236.0:295.0:295.0) (17.0:17.0:17.0))
      (PERIOD (posedge C) (610.0:700.0:700.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
    )
)
(CELL 
  (CELLTYPE "FDRE")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_TX_I/tx_Data_Enable_reg)
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (139.0:175.0:175.0) (139.0:175.0:175.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (34.0:34.0:34.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (34.0:34.0:34.0))
      (SETUPHOLD (posedge D) (posedge C) (-53.0:-47.0:-47.0) (143.0:143.0:143.0))
      (SETUPHOLD (negedge D) (posedge C) (-53.0:-47.0:-47.0) (143.0:143.0:143.0))
      (SETUPHOLD (posedge R) (posedge C) (236.0:295.0:295.0) (17.0:17.0:17.0))
      (SETUPHOLD (negedge R) (posedge C) (236.0:295.0:295.0) (17.0:17.0:17.0))
      (PERIOD (posedge C) (610.0:700.0:700.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
    )
)
(CELL 
  (CELLTYPE "FDRE")
  (INSTANCE U0/UARTLITE_CORE_I/UARTLITE_TX_I/tx_Start_reg)
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (139.0:175.0:175.0) (139.0:175.0:175.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (34.0:34.0:34.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (34.0:34.0:34.0))
      (SETUPHOLD (posedge D) (posedge C) (-53.0:-47.0:-47.0) (143.0:143.0:143.0))
      (SETUPHOLD (negedge D) (posedge C) (-53.0:-47.0:-47.0) (143.0:143.0:143.0))
      (SETUPHOLD (posedge R) (posedge C) (236.0:295.0:295.0) (17.0:17.0:17.0))
      (SETUPHOLD (negedge R) (posedge C) (236.0:295.0:295.0) (17.0:17.0:17.0))
      (PERIOD (posedge C) (610.0:700.0:700.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
    )
)
(CELL 
  (CELLTYPE "FDRE")
  (INSTANCE U0/UARTLITE_CORE_I/clr_Status_reg)
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (139.0:175.0:175.0) (139.0:175.0:175.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (34.0:34.0:34.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (34.0:34.0:34.0))
      (SETUPHOLD (posedge D) (posedge C) (-53.0:-47.0:-47.0) (143.0:143.0:143.0))
      (SETUPHOLD (negedge D) (posedge C) (-53.0:-47.0:-47.0) (143.0:143.0:143.0))
      (SETUPHOLD (posedge R) (posedge C) (236.0:295.0:295.0) (17.0:17.0:17.0))
      (SETUPHOLD (negedge R) (posedge C) (236.0:295.0:295.0) (17.0:17.0:17.0))
      (PERIOD (posedge C) (610.0:700.0:700.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
    )
)
(CELL 
  (CELLTYPE "FDRE")
  (INSTANCE U0/UARTLITE_CORE_I/enable_interrupts_reg)
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (132.0:165.0:165.0) (132.0:165.0:165.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (posedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (negedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (posedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (SETUPHOLD (negedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (PERIOD (posedge C) (610.0:700.0:700.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
    )
)
(CELL 
  (CELLTYPE "FDSE")
  (INSTANCE U0/UARTLITE_CORE_I/reset_RX_FIFO_reg)
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (132.0:165.0:165.0) (132.0:165.0:165.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (posedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (negedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (posedge S) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (SETUPHOLD (negedge S) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (PERIOD (posedge C) (610.0:700.0:700.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
    )
)
(CELL 
  (CELLTYPE "FDSE")
  (INSTANCE U0/UARTLITE_CORE_I/reset_TX_FIFO_reg)
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (116.0:146.0:146.0) (116.0:146.0:146.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (posedge D) (posedge C) (-52.0:-42.0:-42.0) (126.0:126.0:126.0))
      (SETUPHOLD (negedge D) (posedge C) (-52.0:-42.0:-42.0) (126.0:126.0:126.0))
      (SETUPHOLD (posedge S) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (SETUPHOLD (negedge S) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (PERIOD (posedge C) (653.0:750.0:750.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (348.0:400.0:400.0))
    )
)
(CELL 
  (CELLTYPE "FDRE")
  (INSTANCE U0/UARTLITE_CORE_I/rx_Data_Present_Pre_reg)
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (139.0:175.0:175.0) (139.0:175.0:175.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (34.0:34.0:34.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (34.0:34.0:34.0))
      (SETUPHOLD (posedge D) (posedge C) (-53.0:-47.0:-47.0) (143.0:143.0:143.0))
      (SETUPHOLD (negedge D) (posedge C) (-53.0:-47.0:-47.0) (143.0:143.0:143.0))
      (SETUPHOLD (posedge R) (posedge C) (236.0:295.0:295.0) (17.0:17.0:17.0))
      (SETUPHOLD (negedge R) (posedge C) (236.0:295.0:295.0) (17.0:17.0:17.0))
      (PERIOD (posedge C) (610.0:700.0:700.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
    )
)
(CELL 
  (CELLTYPE "FDRE")
  (INSTANCE U0/UARTLITE_CORE_I/status_reg_reg\[1\])
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (132.0:165.0:165.0) (132.0:165.0:165.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (posedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (negedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (posedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (SETUPHOLD (negedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (PERIOD (posedge C) (610.0:700.0:700.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
    )
)
(CELL 
  (CELLTYPE "FDRE")
  (INSTANCE U0/UARTLITE_CORE_I/status_reg_reg\[2\])
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (139.0:175.0:175.0) (139.0:175.0:175.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (34.0:34.0:34.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (34.0:34.0:34.0))
      (SETUPHOLD (posedge D) (posedge C) (-53.0:-47.0:-47.0) (143.0:143.0:143.0))
      (SETUPHOLD (negedge D) (posedge C) (-53.0:-47.0:-47.0) (143.0:143.0:143.0))
      (SETUPHOLD (posedge R) (posedge C) (236.0:295.0:295.0) (17.0:17.0:17.0))
      (SETUPHOLD (negedge R) (posedge C) (236.0:295.0:295.0) (17.0:17.0:17.0))
      (PERIOD (posedge C) (610.0:700.0:700.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
    )
)
(CELL 
  (CELLTYPE "FDRE")
  (INSTANCE U0/UARTLITE_CORE_I/tx_Buffer_Empty_Pre_reg)
  (DELAY 
    (ABSOLUTE 
      (IOPATH C Q (132.0:165.0:165.0) (132.0:165.0:165.0))
    )
  )
    (TIMINGCHECK
      (SETUPHOLD (posedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (negedge CE) (posedge C) (118.0:148.0:148.0) (49.0:49.0:49.0))
      (SETUPHOLD (posedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (negedge D) (posedge C) (-45.0:-40.0:-40.0) (136.0:136.0:136.0))
      (SETUPHOLD (posedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (SETUPHOLD (negedge R) (posedge C) (239.0:299.0:299.0) (9.0:9.0:9.0))
      (PERIOD (posedge C) (610.0:700.0:700.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
      (WIDTH (posedge C) (305.0:350.0:350.0))
    )
)
(CELL 
    (CELLTYPE "axi_uartlite_module")
    (INSTANCE )
    (DELAY
      (ABSOLUTE
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/Bus_RNW_reg_i_1/O U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/Bus_RNW_reg_reg/D (40.0:52.0:52.0) (40.0:52.0:52.0))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/Bus_RNW_reg_reg/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[0\]_i_1/I0 (588.0:685.0:685.0) (588.0:685.0:685.0))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/Bus_RNW_reg_reg/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[1\]_i_1/I1 (589.0:686.0:686.0) (589.0:686.0:686.0))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/Bus_RNW_reg_reg/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[0\]_i_1__0/I3 (237.3:276.3:276.3) (237.3:276.3:276.3))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/Bus_RNW_reg_reg/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/INFERRED_GEN\.cnt_i\[3\]_i_2/I0 (594.2:691.2:691.2) (594.2:691.2:691.2))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/Bus_RNW_reg_reg/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/reset_RX_FIFO_i_1/I0 (244.1:285.1:285.1) (244.1:285.1:285.1))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/Bus_RNW_reg_reg/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/reset_TX_FIFO_i_1/I0 (247.2:285.2:285.2) (247.2:285.2:285.2))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/Bus_RNW_reg_reg/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/INFERRED_GEN\.cnt_i\[4\]_i_3/I1 (711.3:833.3:833.3) (711.3:833.3:833.3))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/Bus_RNW_reg_reg/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/INFERRED_GEN\.cnt_i\[4\]_i_5/I1 (400.4:470.4:470.4) (400.4:470.4:470.4))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/Bus_RNW_reg_reg/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/INFERRED_GEN\.data_reg\[15\]\[7\]_srl16_i_1/I1 (317.2:368.2:368.2) (317.2:368.2:368.2))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/Bus_RNW_reg_reg/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/clr_Status_i_1/I1 (779.0:913.0:913.0) (779.0:913.0:913.0))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/Bus_RNW_reg_reg/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/Bus_RNW_reg_i_1/I2 (244.1:285.1:285.1) (244.1:285.1:285.1))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/Bus_RNW_reg_reg/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/enable_interrupts_i_1/I2 (247.2:285.2:285.2) (247.2:285.2:285.2))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/Bus_RNW_reg_reg/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/rx_Data_Present_Pre_i_1/I2 (420.2:490.2:490.2) (420.2:490.2:490.2))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/Bus_RNW_reg_reg/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_arready_INST_0/I2 (392.2:462.2:462.2) (392.2:462.2:462.2))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/Bus_RNW_reg_reg/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_rdata_i\[0\]_i_1/I2 (594.2:691.2:691.2) (594.2:691.2:691.2))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/Bus_RNW_reg_reg/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_rdata_i\[1\]_i_1/I2 (711.3:833.3:833.3) (711.3:833.3:833.3))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/Bus_RNW_reg_reg/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_rdata_i\[2\]_i_1/I2 (779.0:913.0:913.0) (779.0:913.0:913.0))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/Bus_RNW_reg_reg/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_rdata_i\[3\]_i_1/I2 (675.9:789.9:789.9) (675.9:789.9:789.9))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/Bus_RNW_reg_reg/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_rdata_i\[4\]_i_1/I2 (472.2:548.2:548.2) (472.2:548.2:548.2))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/Bus_RNW_reg_reg/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_rdata_i\[5\]_i_1/I2 (779.0:913.0:913.0) (779.0:913.0:913.0))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/Bus_RNW_reg_reg/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_rdata_i\[6\]_i_1/I2 (674.9:787.9:787.9) (674.9:787.9:787.9))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/Bus_RNW_reg_reg/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_rdata_i\[7\]_i_2/I2 (594.2:691.2:691.2) (594.2:691.2:691.2))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/Bus_RNW_reg_reg/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_wready_INST_0/I2 (392.2:462.2:462.2) (392.2:462.2:462.2))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/Bus_RNW_reg_reg/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_rresp_i\[1\]_i_1/I3 (317.2:368.2:368.2) (317.2:368.2:368.2))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/Bus_RNW_reg_reg/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/tx_Buffer_Empty_Pre_i_1/I3 (400.4:470.4:470.4) (400.4:470.4:470.4))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS\[0\]\.ce_out_i_reg\[0\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[0\]_i_1/I1 (402.2:471.2:471.2) (402.2:471.2:471.2))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS\[0\]\.ce_out_i_reg\[0\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[1\]_i_1/I2 (473.2:555.2:555.2) (473.2:555.2:555.2))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS\[0\]\.ce_out_i_reg\[0\]/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/INFERRED_GEN\.cnt_i\[4\]_i_3/I0 (669.3:787.3:787.3) (669.3:787.3:787.3))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS\[0\]\.ce_out_i_reg\[0\]/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_arready_INST_0/I0 (210.7:248.7:248.7) (210.7:248.7:248.7))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS\[0\]\.ce_out_i_reg\[0\]/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/INFERRED_GEN\.cnt_i\[3\]_i_2/I1 (604.3:710.3:710.3) (604.3:710.3:710.3))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS\[0\]\.ce_out_i_reg\[0\]/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_rdata_i\[7\]_i_2/I1 (423.0:497.0:497.0) (423.0:497.0:497.0))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS\[0\]\.ce_out_i_reg\[0\]/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS\[3\]\.ce_out_i\[3\]_i_1/I2 (281.7:333.7:333.7) (281.7:333.7:333.7))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS\[0\]\.ce_out_i_reg\[0\]/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_rresp_i\[1\]_i_1/I2 (475.6:561.6:561.6) (475.6:561.6:561.6))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS\[0\]\.ce_out_i_reg\[0\]/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/rx_Data_Present_Pre_i_1/I3 (241.5:282.5:282.5) (241.5:282.5:282.5))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS\[0\]\.ce_out_i_reg\[0\]/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_rdata_i\[0\]_i_1/I3 (604.3:710.3:710.3) (604.3:710.3:710.3))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS\[0\]\.ce_out_i_reg\[0\]/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_rdata_i\[1\]_i_1/I3 (669.3:787.3:787.3) (669.3:787.3:787.3))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS\[0\]\.ce_out_i_reg\[0\]/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_rdata_i\[2\]_i_1/I3 (478.3:560.3:560.3) (478.3:560.3:560.3))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS\[0\]\.ce_out_i_reg\[0\]/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_rdata_i\[3\]_i_1/I3 (367.3:429.3:429.3) (367.3:429.3:429.3))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS\[0\]\.ce_out_i_reg\[0\]/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_rdata_i\[4\]_i_1/I3 (495.3:581.3:581.3) (495.3:581.3:581.3))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS\[0\]\.ce_out_i_reg\[0\]/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_rdata_i\[5\]_i_1/I3 (478.3:560.3:560.3) (478.3:560.3:560.3))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS\[0\]\.ce_out_i_reg\[0\]/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_rdata_i\[6\]_i_1/I3 (566.4:665.4:665.4) (566.4:665.4:665.4))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS\[0\]\.ce_out_i_reg\[0\]/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_wready_INST_0/I3 (210.7:248.7:248.7) (210.7:248.7:248.7))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS\[1\]\.ce_out_i\[1\]_i_1/O U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS\[1\]\.ce_out_i_reg\[1\]/D (41.0:53.0:53.0) (41.0:53.0:53.0))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS\[1\]\.ce_out_i_reg\[1\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[0\]_i_1__0/I4 (171.1:201.1:201.1) (171.1:201.1:201.1))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS\[1\]\.ce_out_i_reg\[1\]/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS\[3\]\.ce_out_i\[3\]_i_1/I0 (464.8:547.8:547.8) (464.8:547.8:547.8))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS\[1\]\.ce_out_i_reg\[1\]/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/INFERRED_GEN\.cnt_i\[4\]_i_5/I0 (458.2:542.2:542.2) (458.2:542.2:542.2))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS\[1\]\.ce_out_i_reg\[1\]/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_rresp_i\[1\]_i_1/I0 (284.2:336.2:336.2) (284.2:336.2:336.2))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS\[1\]\.ce_out_i_reg\[1\]/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_wready_INST_0/I0 (465.8:548.8:548.8) (465.8:548.8:548.8))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS\[1\]\.ce_out_i_reg\[1\]/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/INFERRED_GEN\.data_reg\[15\]\[7\]_srl16_i_1/I2 (284.2:336.2:336.2) (284.2:336.2:336.2))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS\[1\]\.ce_out_i_reg\[1\]/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/tx_Buffer_Empty_Pre_i_1/I2 (458.2:542.2:542.2) (458.2:542.2:542.2))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS\[1\]\.ce_out_i_reg\[1\]/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_arready_INST_0/I3 (465.8:548.8:548.8) (465.8:548.8:548.8))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS\[2\]\.ce_out_i_reg\[2\]/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/clr_Status_i_1/I0 (329.7:385.7:385.7) (329.7:385.7:385.7))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS\[2\]\.ce_out_i_reg\[2\]/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_rdata_i\[7\]_i_2/I0 (750.9:887.9:887.9) (750.9:887.9:887.9))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS\[2\]\.ce_out_i_reg\[2\]/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_arready_INST_0/I1 (279.7:330.7:330.7) (279.7:330.7:330.7))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS\[2\]\.ce_out_i_reg\[2\]/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS\[3\]\.ce_out_i\[3\]_i_1/I3 (311.6:366.6:366.6) (311.6:366.6:366.6))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS\[2\]\.ce_out_i_reg\[2\]/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_rdata_i\[0\]_i_1/I4 (666.8:785.8:785.8) (666.8:785.8:785.8))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS\[2\]\.ce_out_i_reg\[2\]/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_rdata_i\[1\]_i_1/I4 (494.5:580.5:580.5) (494.5:580.5:580.5))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS\[2\]\.ce_out_i_reg\[2\]/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_rdata_i\[2\]_i_1/I4 (329.7:385.7:385.7) (329.7:385.7:385.7))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS\[2\]\.ce_out_i_reg\[2\]/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_rdata_i\[3\]_i_1/I4 (508.7:597.7:597.7) (508.7:597.7:597.7))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS\[2\]\.ce_out_i_reg\[2\]/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_rdata_i\[4\]_i_1/I4 (582.5:684.5:684.5) (582.5:684.5:684.5))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS\[2\]\.ce_out_i_reg\[2\]/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_rdata_i\[5\]_i_1/I4 (330.7:386.7:386.7) (330.7:386.7:386.7))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS\[2\]\.ce_out_i_reg\[2\]/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_rdata_i\[6\]_i_1/I4 (287.7:335.7:335.7) (287.7:335.7:335.7))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS\[2\]\.ce_out_i_reg\[2\]/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_wready_INST_0/I4 (279.7:330.7:330.7) (279.7:330.7:330.7))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS\[3\]\.ce_out_i\[3\]_i_1/O U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS\[0\]\.ce_out_i_reg\[0\]/R (235.1:286.1:286.1) (235.1:286.1:286.1))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS\[3\]\.ce_out_i\[3\]_i_1/O U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS\[1\]\.ce_out_i_reg\[1\]/R (235.1:286.1:286.1) (235.1:286.1:286.1))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS\[3\]\.ce_out_i\[3\]_i_1/O U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS\[2\]\.ce_out_i_reg\[2\]/R (235.1:286.1:286.1) (235.1:286.1:286.1))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS\[3\]\.ce_out_i\[3\]_i_1/O U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS\[3\]\.ce_out_i_reg\[3\]/R (235.1:286.1:286.1) (235.1:286.1:286.1))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS\[3\]\.ce_out_i\[3\]_i_2/O U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS\[3\]\.ce_out_i_reg\[3\]/D (24.0:30.0:30.0) (24.0:30.0:30.0))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS\[3\]\.ce_out_i_reg\[3\]/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS\[3\]\.ce_out_i\[3\]_i_1/I1 (202.3:242.3:242.3) (202.3:242.3:242.3))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS\[3\]\.ce_out_i_reg\[3\]/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/enable_interrupts_i_1/I1 (382.6:454.6:454.6) (382.6:454.6:454.6))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS\[3\]\.ce_out_i_reg\[3\]/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/reset_RX_FIFO_i_1/I1 (446.4:530.4:530.4) (446.4:530.4:530.4))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS\[3\]\.ce_out_i_reg\[3\]/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/reset_TX_FIFO_i_1/I1 (382.6:454.6:454.6) (382.6:454.6:454.6))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS\[3\]\.ce_out_i_reg\[3\]/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_wready_INST_0/I1 (447.4:531.4:531.4) (447.4:531.4:531.4))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS\[3\]\.ce_out_i_reg\[3\]/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_arready_INST_0/I4 (447.4:531.4:531.4) (447.4:531.4:531.4))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/INFERRED_GEN\.cnt_i\[3\]_i_2/O U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[2\]_i_1/I1 (515.4:619.4:619.4) (515.4:619.4:619.4))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/INFERRED_GEN\.cnt_i\[3\]_i_2/O U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[3\]_i_1/I1 (514.4:617.4:617.4) (514.4:617.4:617.4))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/INFERRED_GEN\.cnt_i\[4\]_i_3/O U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[4\]_i_2/I0 (280.6:332.6:332.6) (280.6:332.6:332.6))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/INFERRED_GEN\.cnt_i\[4\]_i_3/O U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/FIFO_Full_i_1/I3 (163.6:197.6:197.6) (163.6:197.6:197.6))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/INFERRED_GEN\.cnt_i\[4\]_i_5/O U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/FIFO_Full_i_1__0/I0 (459.5:549.5:549.5) (459.5:549.5:549.5))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/INFERRED_GEN\.cnt_i\[4\]_i_5/O U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[2\]_i_1__0/I2 (355.5:426.5:426.5) (355.5:426.5:426.5))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/INFERRED_GEN\.cnt_i\[4\]_i_5/O U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[1\]_i_1__0/I4 (458.5:548.5:548.5) (458.5:548.5:548.5))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/INFERRED_GEN\.cnt_i\[4\]_i_5/O U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[3\]_i_1__0/I4 (393.5:471.5:471.5) (393.5:471.5:471.5))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/INFERRED_GEN\.cnt_i\[4\]_i_5/O U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[4\]_i_2__0/I5 (484.6:581.6:581.6) (484.6:581.6:581.6))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/INFERRED_GEN\.data_reg\[15\]\[7\]_srl16_i_1/O U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[0\]_srl16/CE (239.4:286.4:286.4) (239.4:286.4:286.4))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/INFERRED_GEN\.data_reg\[15\]\[7\]_srl16_i_1/O U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[1\]_srl16/CE (239.4:286.4:286.4) (239.4:286.4:286.4))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/INFERRED_GEN\.data_reg\[15\]\[7\]_srl16_i_1/O U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[2\]_srl16/CE (239.4:286.4:286.4) (239.4:286.4:286.4))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/INFERRED_GEN\.data_reg\[15\]\[7\]_srl16_i_1/O U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[3\]_srl16/CE (239.4:286.4:286.4) (239.4:286.4:286.4))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/INFERRED_GEN\.data_reg\[15\]\[7\]_srl16_i_1/O U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[4\]_srl16/CE (239.4:286.4:286.4) (239.4:286.4:286.4))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/INFERRED_GEN\.data_reg\[15\]\[7\]_srl16_i_1/O U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[5\]_srl16/CE (239.4:286.4:286.4) (239.4:286.4:286.4))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/INFERRED_GEN\.data_reg\[15\]\[7\]_srl16_i_1/O U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[6\]_srl16/CE (239.4:286.4:286.4) (239.4:286.4:286.4))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/INFERRED_GEN\.data_reg\[15\]\[7\]_srl16_i_1/O U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[7\]_srl16/CE (239.4:286.4:286.4) (239.4:286.4:286.4))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/MEM_DECODE_GEN\[0\]\.PER_CE_GEN\[0\]\.MULTIPLE_CES_THIS_CS_GEN\.CE_I/CS/O U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS\[0\]\.ce_out_i_reg\[0\]/D (40.0:52.0:52.0) (40.0:52.0:52.0))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/MEM_DECODE_GEN\[0\]\.PER_CE_GEN\[2\]\.MULTIPLE_CES_THIS_CS_GEN\.CE_I/CS/O U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS\[2\]\.ce_out_i_reg\[2\]/D (40.0:52.0:52.0) (40.0:52.0:52.0))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/clr_Status_i_1/O U0/UARTLITE_CORE_I/clr_Status_reg/D (348.3:429.3:429.3) (348.3:429.3:429.3))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/enable_interrupts_i_1/O U0/UARTLITE_CORE_I/enable_interrupts_reg/D (41.0:53.0:53.0) (41.0:53.0:53.0))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/reset_RX_FIFO_i_1/O U0/UARTLITE_CORE_I/reset_RX_FIFO_reg/D (470.1:570.1:570.1) (470.1:570.1:570.1))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/reset_TX_FIFO_i_1/O U0/UARTLITE_CORE_I/reset_TX_FIFO_reg/D (24.0:30.0:30.0) (24.0:30.0:30.0))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/rx_Data_Present_Pre_i_1/O U0/UARTLITE_CORE_I/rx_Data_Present_Pre_reg/D (39.0:50.0:50.0) (39.0:50.0:50.0))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_arready_INST_0/O m_axi\\\.araddr\[3\]_i_2/I0 (476.4:560.4:560.4) (476.4:560.4:560.4))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_arready_INST_0/O m_axi\\\.arvalid_i_1/I0 (650.9:765.9:765.9) (650.9:765.9:765.9))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_arready_INST_0/O axi_state\[2\]_C_i_1/I1 (645.2:759.2:759.2) (645.2:759.2:759.2))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_arready_INST_0/O axi_state\[2\]_P_i_1/I1 (729.1:858.1:858.1) (729.1:858.1:858.1))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_arready_INST_0/O axi_state\[2\]_P_i_2/I1 (738.7:869.7:869.7) (738.7:869.7:869.7))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_arready_INST_0/O axi_state\[0\]_P_i_5/I4 (629.1:741.1:741.1) (629.1:741.1:741.1))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_arready_INST_0/O U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/state\[1\]_i_1/I0 (366.7:430.7:430.7) (366.7:430.7:430.7))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_arready_INST_0/O U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_rvalid_i_i_1/I2 (367.7:432.7:432.7) (367.7:432.7:432.7))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_bresp_i\[1\]_i_1/O U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_bresp_i_reg\[1\]/D (40.0:52.0:52.0) (40.0:52.0:52.0))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_bvalid_i_i_1/O U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_bvalid_i_reg/D (40.0:52.0:52.0) (40.0:52.0:52.0))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_rdata_i\[0\]_i_1/O U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rdata_i_reg\[0\]/D (408.1:492.1:492.1) (408.1:492.1:492.1))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_rdata_i\[1\]_i_1/O U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rdata_i_reg\[1\]/D (445.8:544.8:544.8) (445.8:544.8:544.8))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_rdata_i\[2\]_i_1/O U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rdata_i_reg\[2\]/D (40.0:52.0:52.0) (40.0:52.0:52.0))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_rdata_i\[3\]_i_1/O U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rdata_i_reg\[3\]/D (40.0:52.0:52.0) (40.0:52.0:52.0))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_rdata_i\[4\]_i_1/O U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rdata_i_reg\[4\]/D (40.0:52.0:52.0) (40.0:52.0:52.0))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_rdata_i\[5\]_i_1/O U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rdata_i_reg\[5\]/D (41.0:53.0:53.0) (41.0:53.0:53.0))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_rdata_i\[6\]_i_1/O U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rdata_i_reg\[6\]/D (40.0:52.0:52.0) (40.0:52.0:52.0))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_rdata_i\[7\]_i_2/O U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rdata_i_reg\[7\]/D (38.0:48.0:48.0) (38.0:48.0:48.0))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_rresp_i\[1\]_i_1/O U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rresp_i_reg\[1\]/D (403.3:489.3:489.3) (403.3:489.3:489.3))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_rresp_i\[1\]_i_1/O U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_bresp_i\[1\]_i_1/I0 (482.6:569.6:569.6) (482.6:569.6:569.6))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_rvalid_i_i_1/O U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rvalid_i_reg/D (40.0:52.0:52.0) (40.0:52.0:52.0))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_wready_INST_0/O m_axi\\\.wdata\[7\]_i_1/I3 (588.2:690.2:690.2) (588.2:690.2:690.2))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_wready_INST_0/O axi_state\[1\]_P_i_5/I2 (502.2:586.2:586.2) (502.2:586.2:586.2))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_wready_INST_0/O axi_state\[1\]_P_i_1/I5 (554.8:647.8:647.8) (554.8:647.8:647.8))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_wready_INST_0/O U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/state\[0\]_i_1/I0 (476.1:557.1:557.1) (476.1:557.1:557.1))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_wready_INST_0/O U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_bvalid_i_i_1/I2 (333.3:385.3:385.3) (333.3:385.3:385.3))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/state\[0\]_i_1/O U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/state_reg\[0\]/D (40.0:52.0:52.0) (40.0:52.0:52.0))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/state\[1\]_i_1/O U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/state_reg\[1\]/D (41.0:53.0:53.0) (41.0:53.0:53.0))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/tx_Buffer_Empty_Pre_i_1/O U0/UARTLITE_CORE_I/tx_Buffer_Empty_Pre_reg/D (371.6:452.6:452.6) (371.6:452.6:452.6))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/bus2ip_addr_i\[2\]_i_1/O U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/bus2ip_addr_i_reg\[2\]/D (41.0:53.0:53.0) (41.0:53.0:53.0))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/bus2ip_addr_i\[3\]_i_1/O U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/bus2ip_addr_i_reg\[3\]/D (40.0:52.0:52.0) (40.0:52.0:52.0))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/bus2ip_addr_i\[3\]_i_2/O U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/bus2ip_addr_i\[2\]_i_1/I1 (373.4:443.4:443.4) (373.4:443.4:443.4))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/bus2ip_addr_i\[3\]_i_2/O U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/bus2ip_addr_i\[3\]_i_1/I1 (378.6:448.6:448.6) (378.6:448.6:448.6))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/bus2ip_addr_i_reg\[2\]/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/bus2ip_addr_i\[2\]_i_1/I4 (309.5:363.5:363.5) (309.5:363.5:363.5))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/bus2ip_addr_i_reg\[2\]/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS\[1\]\.ce_out_i\[1\]_i_1/I0 (444.4:513.4:513.4) (444.4:513.4:513.4))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/bus2ip_addr_i_reg\[2\]/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS\[3\]\.ce_out_i\[3\]_i_2/I1 (444.4:513.4:513.4) (444.4:513.4:513.4))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/bus2ip_addr_i_reg\[2\]/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/MEM_DECODE_GEN\[0\]\.PER_CE_GEN\[0\]\.MULTIPLE_CES_THIS_CS_GEN\.CE_I/CS/I0 (443.4:512.4:512.4) (443.4:512.4:512.4))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/bus2ip_addr_i_reg\[2\]/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/MEM_DECODE_GEN\[0\]\.PER_CE_GEN\[2\]\.MULTIPLE_CES_THIS_CS_GEN\.CE_I/CS/I1 (443.4:512.4:512.4) (443.4:512.4:512.4))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/bus2ip_addr_i_reg\[3\]/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/bus2ip_addr_i\[3\]_i_1/I4 (235.3:276.3:276.3) (235.3:276.3:276.3))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/bus2ip_addr_i_reg\[3\]/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS\[3\]\.ce_out_i\[3\]_i_2/I0 (275.4:314.4:314.4) (275.4:314.4:314.4))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/bus2ip_addr_i_reg\[3\]/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS\[1\]\.ce_out_i\[1\]_i_1/I1 (275.4:314.4:314.4) (275.4:314.4:314.4))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/bus2ip_addr_i_reg\[3\]/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/MEM_DECODE_GEN\[0\]\.PER_CE_GEN\[0\]\.MULTIPLE_CES_THIS_CS_GEN\.CE_I/CS/I1 (345.4:397.4:397.4) (345.4:397.4:397.4))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/bus2ip_addr_i_reg\[3\]/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/MEM_DECODE_GEN\[0\]\.PER_CE_GEN\[2\]\.MULTIPLE_CES_THIS_CS_GEN\.CE_I/CS/I0 (449.4:520.4:520.4) (449.4:520.4:520.4))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/bus2ip_rnw_i_i_1/O U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/bus2ip_rnw_i_reg/D (40.0:52.0:52.0) (40.0:52.0:52.0))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/bus2ip_rnw_i_reg/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/bus2ip_rnw_i_i_1/I4 (235.3:276.3:276.3) (235.3:276.3:276.3))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/bus2ip_rnw_i_reg/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/Bus_RNW_reg_i_1/I0 (410.0:479.0:479.0) (410.0:479.0:479.0))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/rst_reg/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/bus2ip_addr_i_reg\[2\]/R (524.7:619.7:619.7) (524.7:619.7:619.7))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/rst_reg/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/bus2ip_addr_i_reg\[3\]/R (524.7:619.7:619.7) (524.7:619.7:619.7))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/rst_reg/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/bus2ip_rnw_i_reg/R (450.7:530.7:530.7) (450.7:530.7:530.7))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/rst_reg/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_bresp_i_reg\[1\]/R (524.7:619.7:619.7) (524.7:619.7:619.7))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/rst_reg/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_bvalid_i_reg/R (654.9:774.9:774.9) (654.9:774.9:774.9))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/rst_reg/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rdata_i_reg\[0\]/R (747.2:872.2:872.2) (747.2:872.2:872.2))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/rst_reg/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rdata_i_reg\[1\]/R (747.2:872.2:872.2) (747.2:872.2:872.2))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/rst_reg/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rdata_i_reg\[2\]/R (910.3:1068.3:1068.3) (910.3:1068.3:1068.3))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/rst_reg/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rdata_i_reg\[3\]/R (910.3:1068.3:1068.3) (910.3:1068.3:1068.3))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/rst_reg/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rdata_i_reg\[4\]/R (746.2:870.2:870.2) (746.2:870.2:870.2))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/rst_reg/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rdata_i_reg\[5\]/R (910.3:1068.3:1068.3) (910.3:1068.3:1068.3))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/rst_reg/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rdata_i_reg\[6\]/R (910.3:1068.3:1068.3) (910.3:1068.3:1068.3))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/rst_reg/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rdata_i_reg\[7\]/R (747.2:872.2:872.2) (747.2:872.2:872.2))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/rst_reg/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rresp_i_reg\[1\]/R (746.2:870.2:870.2) (746.2:870.2:870.2))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/rst_reg/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rvalid_i_reg/R (602.3:713.3:713.3) (602.3:713.3:713.3))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/rst_reg/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/start2_reg/R (524.7:619.7:619.7) (524.7:619.7:619.7))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/rst_reg/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/state_reg\[0\]/R (448.6:528.6:528.6) (448.6:528.6:528.6))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/rst_reg/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/state_reg\[1\]/R (602.3:713.3:713.3) (602.3:713.3:713.3))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_bresp_i_reg\[1\]/Q transmit_in_progress_reg_i_2/I0 (516.0:601.0:601.0) (516.0:601.0:601.0))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_bresp_i_reg\[1\]/Q cipher_data_reg\[127\]_i_3/I1 (516.0:601.0:601.0) (516.0:601.0:601.0))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_bresp_i_reg\[1\]/Q axi_state\[0\]_P_i_7/I3 (290.7:343.7:343.7) (290.7:343.7:343.7))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_bresp_i_reg\[1\]/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_bresp_i\[1\]_i_1/I3 (315.7:369.7:369.7) (315.7:369.7:369.7))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_bvalid_i_reg/Q cipher_data_reg\[127\]_i_3/I0 (598.0:699.0:699.0) (598.0:699.0:699.0))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_bvalid_i_reg/Q transmit_in_progress_reg_i_2/I1 (598.0:699.0:699.0) (598.0:699.0:699.0))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_bvalid_i_reg/Q axi_state\[0\]_P_i_7/I4 (244.9:286.9:286.9) (244.9:286.9:286.9))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_bvalid_i_reg/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/state\[0\]_i_2/I4 (370.4:437.4:437.4) (370.4:437.4:437.4))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_bvalid_i_reg/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/state\[1\]_i_2/I4 (372.4:440.4:440.4) (372.4:440.4:440.4))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_bvalid_i_reg/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_bvalid_i_i_1/I4 (245.1:286.1:286.1) (245.1:286.1:286.1))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rdata_i\[7\]_i_1/O U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rdata_i_reg\[0\]/CE (526.5:620.5:620.5) (526.5:620.5:620.5))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rdata_i\[7\]_i_1/O U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rdata_i_reg\[1\]/CE (526.5:620.5:620.5) (526.5:620.5:620.5))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rdata_i\[7\]_i_1/O U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rdata_i_reg\[2\]/CE (524.5:618.5:618.5) (524.5:618.5:618.5))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rdata_i\[7\]_i_1/O U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rdata_i_reg\[3\]/CE (524.5:618.5:618.5) (524.5:618.5:618.5))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rdata_i\[7\]_i_1/O U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rdata_i_reg\[4\]/CE (457.4:539.4:539.4) (457.4:539.4:539.4))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rdata_i\[7\]_i_1/O U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rdata_i_reg\[5\]/CE (524.5:618.5:618.5) (524.5:618.5:618.5))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rdata_i\[7\]_i_1/O U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rdata_i_reg\[6\]/CE (524.5:618.5:618.5) (524.5:618.5:618.5))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rdata_i\[7\]_i_1/O U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rdata_i_reg\[7\]/CE (526.5:620.5:620.5) (526.5:620.5:620.5))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rdata_i\[7\]_i_1/O U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rresp_i_reg\[1\]/CE (457.4:539.4:539.4) (457.4:539.4:539.4))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rdata_i_reg\[0\]/Q rx_fifo_valid_data_reg_i_1/I0 (558.8:661.8:661.8) (558.8:661.8:661.8))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rdata_i_reg\[0\]/Q key_o\[0\]_i_1/I1 (623.7:745.7:745.7) (623.7:745.7:745.7))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rdata_i_reg\[0\]/Q plain_text_data_o\[0\]_i_1/I1 (530.7:633.7:633.7) (530.7:633.7:633.7))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rdata_i_reg\[0\]/Q key_o\[127\]_i_1/I2 (298.0:353.0:353.0) (298.0:353.0:353.0))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rdata_i_reg\[0\]/Q key_set_in_progress_reg_i_2/I2 (324.6:385.6:385.6) (324.6:385.6:385.6))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rdata_i_reg\[0\]/Q data_counter_reg\[3\]_i_3/I4 (316.6:375.6:375.6) (316.6:375.6:375.6))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rdata_i_reg\[0\]/Q plain_text_set_in_progress_reg_i_2/I4 (460.0:547.0:547.0) (460.0:547.0:547.0))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rdata_i_reg\[1\]/Q key_o\[127\]_i_6/I1 (278.6:332.6:332.6) (278.6:332.6:332.6))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rdata_i_reg\[1\]/Q key_o\[1\]_i_1/I1 (943.1:1128.1:1128.1) (943.1:1128.1:1128.1))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rdata_i_reg\[1\]/Q plain_text_data_o\[1\]_i_1/I1 (670.9:802.9:802.9) (670.9:802.9:802.9))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rdata_i_reg\[2\]/Q key_o\[127\]_i_6/I0 (380.2:454.2:454.2) (380.2:454.2:454.2))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rdata_i_reg\[2\]/Q key_o\[2\]_i_1/I1 (693.8:828.8:828.8) (693.8:828.8:828.8))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rdata_i_reg\[2\]/Q plain_text_data_o\[2\]_i_1/I1 (725.9:869.9:869.9) (725.9:869.9:869.9))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rdata_i_reg\[2\]/Q wait_tx_fifo_empty_reg_i_2/I1 (403.1:483.1:483.1) (403.1:483.1:483.1))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rdata_i_reg\[3\]/Q key_o\[3\]_i_1/I1 (799.8:954.8:954.8) (799.8:954.8:954.8))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rdata_i_reg\[3\]/Q plain_text_data_o\[3\]_i_1/I1 (633.0:757.0:757.0) (633.0:757.0:757.0))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rdata_i_reg\[3\]/Q key_o\[127\]_i_4/I2 (234.8:281.8:281.8) (234.8:281.8:281.8))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rdata_i_reg\[4\]/Q key_o\[4\]_i_1/I1 (283.7:336.7:336.7) (283.7:336.7:336.7))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rdata_i_reg\[4\]/Q key_set_in_progress_reg_i_2/I1 (283.7:336.7:336.7) (283.7:336.7:336.7))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rdata_i_reg\[4\]/Q plain_text_data_o\[4\]_i_1/I1 (716.5:855.5:855.5) (716.5:855.5:855.5))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rdata_i_reg\[4\]/Q data_counter_reg\[3\]_i_3/I3 (274.7:326.7:326.7) (274.7:326.7:326.7))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rdata_i_reg\[4\]/Q key_o\[127\]_i_1/I3 (314.0:375.0:375.0) (314.0:375.0:375.0))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rdata_i_reg\[4\]/Q plain_text_set_in_progress_reg_i_2/I3 (357.0:426.0:426.0) (357.0:426.0:426.0))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rdata_i_reg\[5\]/Q key_o\[127\]_i_4/I1 (370.8:442.8:442.8) (370.8:442.8:442.8))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rdata_i_reg\[5\]/Q key_o\[5\]_i_1/I1 (726.0:870.0:870.0) (726.0:870.0:870.0))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rdata_i_reg\[5\]/Q plain_text_data_o\[5\]_i_1/I1 (881.4:1052.4:1052.4) (881.4:1052.4:1052.4))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rdata_i_reg\[6\]/Q key_o\[6\]_i_1/I1 (614.0:732.0:732.0) (614.0:732.0:732.0))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rdata_i_reg\[6\]/Q plain_text_data_o\[6\]_i_1/I1 (530.2:632.2:632.2) (530.2:632.2:632.2))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rdata_i_reg\[6\]/Q key_o\[127\]_i_4/I3 (448.7:533.7:533.7) (448.7:533.7:533.7))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rdata_i_reg\[7\]/Q key_o\[7\]_i_1/I1 (913.0:1092.0:1092.0) (913.0:1092.0:1092.0))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rdata_i_reg\[7\]/Q plain_text_data_o\[7\]_i_1/I1 (671.9:804.9:804.9) (671.9:804.9:804.9))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rdata_i_reg\[7\]/Q key_o\[127\]_i_4/I4 (268.7:322.7:322.7) (268.7:322.7:322.7))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rresp_i_reg\[1\]/Q key_o\[127\]_i_3/I0 (448.8:536.8:536.8) (448.8:536.8:536.8))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rresp_i_reg\[1\]/Q plain_text_data_o\[127\]_i_3/I1 (368.9:444.9:444.9) (368.9:444.9:444.9))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rresp_i_reg\[1\]/Q key_o\[127\]_i_6/I2 (368.9:444.9:444.9) (368.9:444.9:444.9))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rresp_i_reg\[1\]/Q plain_text_data_valid_o_i_4/I2 (349.8:419.8:419.8) (349.8:419.8:419.8))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rvalid_i_reg/Q plain_text_data_o\[127\]_i_3/I0 (618.7:721.7:721.7) (618.7:721.7:721.7))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rvalid_i_reg/Q key_o\[127\]_i_3/I1 (627.7:733.7:733.7) (627.7:733.7:733.7))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rvalid_i_reg/Q plain_text_data_valid_o_i_4/I1 (406.7:471.7:471.7) (406.7:471.7:471.7))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rvalid_i_reg/Q key_o\[127\]_i_6/I3 (618.7:721.7:721.7) (618.7:721.7:721.7))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rvalid_i_reg/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/state\[0\]_i_2/I1 (212.4:250.4:250.4) (212.4:250.4:250.4))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rvalid_i_reg/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/state\[1\]_i_2/I1 (210.4:248.4:248.4) (210.4:248.4:248.4))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rvalid_i_reg/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_rvalid_i_i_1/I4 (385.4:455.4:455.4) (385.4:455.4:455.4))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/start2_i_1/O U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/start2_reg/D (321.3:390.3:390.3) (321.3:390.3:390.3))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/start2_i_1/O U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/bus2ip_addr_i\[2\]_i_1/I3 (372.3:446.3:446.3) (372.3:446.3:446.3))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/start2_i_1/O U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/bus2ip_addr_i\[3\]_i_1/I3 (533.3:638.3:638.3) (533.3:638.3:638.3))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/start2_reg/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS\[0\]\.ce_out_i_reg\[0\]/CE (430.7:512.7:512.7) (430.7:512.7:512.7))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/start2_reg/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS\[1\]\.ce_out_i_reg\[1\]/CE (430.7:512.7:512.7) (430.7:512.7:512.7))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/start2_reg/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS\[2\]\.ce_out_i_reg\[2\]/CE (430.7:512.7:512.7) (430.7:512.7:512.7))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/start2_reg/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/GEN_BKEND_CE_REGISTERS\[3\]\.ce_out_i_reg\[3\]/CE (430.7:512.7:512.7) (430.7:512.7:512.7))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/start2_reg/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/Bus_RNW_reg_i_1/I1 (389.5:458.5:458.5) (389.5:458.5:458.5))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/state\[0\]_i_2/O U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/state\[0\]_i_1/I1 (227.1:274.1:274.1) (227.1:274.1:274.1))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/state\[1\]_i_2/O U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/state\[1\]_i_1/I1 (298.9:354.9:354.9) (298.9:354.9:354.9))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/state_reg\[0\]/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rdata_i\[7\]_i_1/I0 (477.0:562.0:562.0) (477.0:562.0:562.0))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/state_reg\[0\]/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/state\[0\]_i_2/I0 (644.6:759.6:759.6) (644.6:759.6:759.6))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/state_reg\[0\]/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/bus2ip_addr_i\[3\]_i_2/I1 (476.4:560.4:560.4) (476.4:560.4:560.4))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/state_reg\[0\]/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/bus2ip_rnw_i_i_1/I2 (149.8:173.8:173.8) (149.8:173.8:173.8))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/state_reg\[0\]/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/start2_i_1/I2 (476.4:560.4:560.4) (476.4:560.4:560.4))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/state_reg\[0\]/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_bvalid_i_i_1/I0 (631.8:742.8:742.8) (631.8:742.8:742.8))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/state_reg\[0\]/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_rvalid_i_i_1/I1 (479.5:562.5:562.5) (479.5:562.5:562.5))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/state_reg\[0\]/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_bresp_i\[1\]_i_1/I2 (396.2:466.2:466.2) (396.2:466.2:466.2))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/state_reg\[0\]/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/state\[1\]_i_1/I2 (384.5:450.5:450.5) (384.5:450.5:450.5))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/state_reg\[0\]/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/state\[0\]_i_1/I3 (238.7:279.7:279.7) (238.7:279.7:279.7))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/state_reg\[1\]/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/bus2ip_addr_i\[3\]_i_2/I0 (279.8:322.8:322.8) (279.8:322.8:322.8))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/state_reg\[1\]/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/state\[1\]_i_2/I0 (359.6:420.6:420.6) (359.6:420.6:420.6))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/state_reg\[1\]/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/s_axi_rdata_i\[7\]_i_1/I1 (275.4:318.4:318.4) (275.4:318.4:318.4))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/state_reg\[1\]/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/bus2ip_rnw_i_i_1/I3 (494.7:578.7:578.7) (494.7:578.7:578.7))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/state_reg\[1\]/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/start2_i_1/I3 (279.8:322.8:322.8) (279.8:322.8:322.8))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/state_reg\[1\]/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_rvalid_i_i_1/I0 (339.5:393.5:393.5) (339.5:393.5:393.5))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/state_reg\[1\]/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_bresp_i\[1\]_i_1/I1 (214.7:246.7:246.7) (214.7:246.7:246.7))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/state_reg\[1\]/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_bvalid_i_i_1/I1 (323.8:376.8:376.8) (323.8:376.8:376.8))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/state_reg\[1\]/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/state\[0\]_i_1/I2 (494.7:578.7:578.7) (494.7:578.7:578.7))
      (INTERCONNECT U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/state_reg\[1\]/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/state\[1\]_i_1/I3 (340.5:394.5:394.5) (340.5:394.5:394.5))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/EN_16x_Baud_i_1/O U0/UARTLITE_CORE_I/BAUD_RATE_I/EN_16x_Baud_reg/D (40.0:52.0:52.0) (40.0:52.0:52.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/EN_16x_Baud_reg/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/rx_1_reg/CE (342.3:408.3:408.3) (342.3:408.3:408.3))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/EN_16x_Baud_reg/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/rx_2_reg/CE (341.3:406.3:406.3) (341.3:406.3:406.3))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/EN_16x_Baud_reg/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/rx_3_reg/CE (341.3:406.3:406.3) (341.3:406.3:406.3))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/EN_16x_Baud_reg/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/rx_4_reg/CE (341.3:406.3:406.3) (341.3:406.3:406.3))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/EN_16x_Baud_reg/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/rx_5_reg/CE (341.3:406.3:406.3) (341.3:406.3:406.3))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/EN_16x_Baud_reg/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/rx_6_reg/CE (341.3:406.3:406.3) (341.3:406.3:406.3))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/EN_16x_Baud_reg/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/rx_7_reg/CE (342.3:408.3:408.3) (342.3:408.3:408.3))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/EN_16x_Baud_reg/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/rx_8_reg/CE (342.3:408.3:408.3) (342.3:408.3:408.3))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/EN_16x_Baud_reg/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/rx_9_reg/CE (342.3:408.3:408.3) (342.3:408.3:408.3))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/EN_16x_Baud_reg/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/start_Edge_Detected_reg/CE (341.3:406.3:406.3) (341.3:406.3:406.3))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/EN_16x_Baud_reg/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/INFERRED_GEN\.data_reg\[14\]\[0\]_srl15/CE (210.0:248.0:248.0) (210.0:248.0:248.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/EN_16x_Baud_reg/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/INFERRED_GEN\.data_reg\[15\]\[0\]/CE (285.0:341.0:341.0) (285.0:341.0:341.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/EN_16x_Baud_reg/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/SERIAL_TO_PARALLEL\[8\]\.fifo_din\[8\]_i_2/I0 (306.4:357.4:357.4) (306.4:357.4:357.4))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/EN_16x_Baud_reg/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/fifo_Write_i_1/I1 (236.4:274.4:274.4) (236.4:274.4:274.4))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/EN_16x_Baud_reg/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/frame_err_ocrd_i_1/I1 (236.4:274.4:274.4) (236.4:274.4:274.4))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/EN_16x_Baud_reg/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/status_reg\[1\]_i_2/I1 (224.9:262.9:262.9) (224.9:262.9:262.9))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/EN_16x_Baud_reg/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/running_i_1/I2 (306.4:357.4:357.4) (306.4:357.4:357.4))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/EN_16x_Baud_reg/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/stop_Bit_Position_i_1/I2 (222.9:260.9:260.9) (222.9:260.9:260.9))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/EN_16x_Baud_reg/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/MID_START_BIT_SRL16_I/INFERRED_GEN\.data_reg\[14\]\[0\]_srl15/CE (265.0:312.0:312.0) (265.0:312.0:312.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/EN_16x_Baud_reg/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/MID_START_BIT_SRL16_I/INFERRED_GEN\.data_reg\[15\]\[0\]/CE (340.0:405.0:405.0) (340.0:405.0:405.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/EN_16x_Baud_reg/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/MID_START_BIT_SRL16_I/tx_Data_Enable_i_1/I2 (555.2:654.2:654.2) (555.2:654.2:654.2))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/count\[0\]_i_1/O U0/UARTLITE_CORE_I/BAUD_RATE_I/count_reg\[0\]/D (38.0:48.0:48.0) (38.0:48.0:48.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/count\[1\]_i_1/O U0/UARTLITE_CORE_I/BAUD_RATE_I/count_reg\[1\]/D (39.0:50.0:50.0) (39.0:50.0:50.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/count\[2\]_i_1/O U0/UARTLITE_CORE_I/BAUD_RATE_I/count_reg\[2\]/D (38.0:49.0:49.0) (38.0:49.0:49.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/count\[2\]_i_2/O U0/UARTLITE_CORE_I/BAUD_RATE_I/count\[0\]_i_1/I1 (381.8:457.8:457.8) (381.8:457.8:457.8))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/count\[2\]_i_2/O U0/UARTLITE_CORE_I/BAUD_RATE_I/count\[2\]_i_1/I4 (233.1:277.1:277.1) (233.1:277.1:277.1))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/count\[3\]_i_1/O U0/UARTLITE_CORE_I/BAUD_RATE_I/count_reg\[3\]/D (41.0:53.0:53.0) (41.0:53.0:53.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/count\[3\]_i_2/O U0/UARTLITE_CORE_I/BAUD_RATE_I/count\[3\]_i_1/I0 (359.1:433.1:433.1) (359.1:433.1:433.1))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/count\[4\]_i_1/O U0/UARTLITE_CORE_I/BAUD_RATE_I/count_reg\[4\]/D (33.0:42.0:42.0) (33.0:42.0:42.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/count\[4\]_i_2/O U0/UARTLITE_CORE_I/BAUD_RATE_I/count\[4\]_i_1/I0 (268.0:324.0:324.0) (268.0:324.0:324.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/count\[5\]_i_1/O U0/UARTLITE_CORE_I/BAUD_RATE_I/count_reg\[5\]/D (40.0:52.0:52.0) (40.0:52.0:52.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/count\[6\]_i_1/O U0/UARTLITE_CORE_I/BAUD_RATE_I/count_reg\[6\]/D (24.0:30.0:30.0) (24.0:30.0:30.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/count\[7\]_i_1/O U0/UARTLITE_CORE_I/BAUD_RATE_I/count_reg\[7\]/D (41.0:53.0:53.0) (41.0:53.0:53.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/count\[7\]_i_2/O U0/UARTLITE_CORE_I/BAUD_RATE_I/count\[6\]_i_1/I0 (373.4:444.4:444.4) (373.4:444.4:444.4))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/count\[7\]_i_2/O U0/UARTLITE_CORE_I/BAUD_RATE_I/count\[7\]_i_1/I0 (373.4:444.4:444.4) (373.4:444.4:444.4))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/count\[7\]_i_2/O U0/UARTLITE_CORE_I/BAUD_RATE_I/EN_16x_Baud_i_1/I3 (373.4:444.4:444.4) (373.4:444.4:444.4))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/count_reg\[0\]/Q U0/UARTLITE_CORE_I/BAUD_RATE_I/count\[1\]_i_1/I1 (436.4:513.4:513.4) (436.4:513.4:513.4))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/count_reg\[0\]/Q U0/UARTLITE_CORE_I/BAUD_RATE_I/count\[2\]_i_1/I1 (433.4:509.4:509.4) (433.4:509.4:509.4))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/count_reg\[0\]/Q U0/UARTLITE_CORE_I/BAUD_RATE_I/count\[3\]_i_2/I1 (436.4:513.4:513.4) (436.4:513.4:513.4))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/count_reg\[0\]/Q U0/UARTLITE_CORE_I/BAUD_RATE_I/count\[4\]_i_2/I1 (218.9:256.9:256.9) (218.9:256.9:256.9))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/count_reg\[0\]/Q U0/UARTLITE_CORE_I/BAUD_RATE_I/count\[7\]_i_2/I2 (218.9:256.9:256.9) (218.9:256.9:256.9))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/count_reg\[0\]/Q U0/UARTLITE_CORE_I/BAUD_RATE_I/count\[5\]_i_1/I3 (216.9:253.9:253.9) (216.9:253.9:253.9))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/count_reg\[0\]/Q U0/UARTLITE_CORE_I/BAUD_RATE_I/count\[0\]_i_1/I4 (306.5:362.5:362.5) (306.5:362.5:362.5))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/count_reg\[1\]/Q U0/UARTLITE_CORE_I/BAUD_RATE_I/count\[1\]_i_1/I0 (262.5:306.5:306.5) (262.5:306.5:306.5))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/count_reg\[1\]/Q U0/UARTLITE_CORE_I/BAUD_RATE_I/count\[2\]_i_1/I0 (312.8:369.8:369.8) (312.8:369.8:369.8))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/count_reg\[1\]/Q U0/UARTLITE_CORE_I/BAUD_RATE_I/count\[3\]_i_2/I0 (262.5:306.5:306.5) (262.5:306.5:306.5))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/count_reg\[1\]/Q U0/UARTLITE_CORE_I/BAUD_RATE_I/count\[7\]_i_2/I1 (333.5:390.5:390.5) (333.5:390.5:390.5))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/count_reg\[1\]/Q U0/UARTLITE_CORE_I/BAUD_RATE_I/count\[4\]_i_2/I2 (333.5:390.5:390.5) (333.5:390.5:390.5))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/count_reg\[1\]/Q U0/UARTLITE_CORE_I/BAUD_RATE_I/count\[5\]_i_1/I2 (329.5:385.5:385.5) (329.5:385.5:385.5))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/count_reg\[1\]/Q U0/UARTLITE_CORE_I/BAUD_RATE_I/count\[0\]_i_1/I5 (431.8:508.8:508.8) (431.8:508.8:508.8))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/count_reg\[2\]/Q U0/UARTLITE_CORE_I/BAUD_RATE_I/count\[4\]_i_2/I0 (424.6:498.6:498.6) (424.6:498.6:498.6))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/count_reg\[2\]/Q U0/UARTLITE_CORE_I/BAUD_RATE_I/count\[2\]_i_1/I2 (327.5:382.5:382.5) (327.5:382.5:382.5))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/count_reg\[2\]/Q U0/UARTLITE_CORE_I/BAUD_RATE_I/count\[3\]_i_2/I2 (328.5:384.5:384.5) (328.5:384.5:384.5))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/count_reg\[2\]/Q U0/UARTLITE_CORE_I/BAUD_RATE_I/count\[0\]_i_1/I3 (362.6:425.6:425.6) (362.6:425.6:425.6))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/count_reg\[2\]/Q U0/UARTLITE_CORE_I/BAUD_RATE_I/count\[7\]_i_2/I3 (424.6:498.6:498.6) (424.6:498.6:498.6))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/count_reg\[2\]/Q U0/UARTLITE_CORE_I/BAUD_RATE_I/count\[5\]_i_1/I4 (112.5:128.5:128.5) (112.5:128.5:128.5))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/count_reg\[3\]/Q U0/UARTLITE_CORE_I/BAUD_RATE_I/count\[0\]_i_1/I0 (263.6:308.6:308.6) (263.6:308.6:308.6))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/count_reg\[3\]/Q U0/UARTLITE_CORE_I/BAUD_RATE_I/count\[7\]_i_2/I0 (349.6:410.6:410.6) (349.6:410.6:410.6))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/count_reg\[3\]/Q U0/UARTLITE_CORE_I/BAUD_RATE_I/count\[3\]_i_1/I1 (209.6:244.6:244.6) (209.6:244.6:244.6))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/count_reg\[3\]/Q U0/UARTLITE_CORE_I/BAUD_RATE_I/count\[5\]_i_1/I1 (350.6:411.6:411.6) (350.6:411.6:411.6))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/count_reg\[3\]/Q U0/UARTLITE_CORE_I/BAUD_RATE_I/count\[4\]_i_2/I3 (349.6:410.6:410.6) (349.6:410.6:410.6))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/count_reg\[3\]/Q U0/UARTLITE_CORE_I/BAUD_RATE_I/count\[2\]_i_1/I5 (217.4:255.4:255.4) (217.4:255.4:255.4))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/count_reg\[4\]/Q U0/UARTLITE_CORE_I/BAUD_RATE_I/count\[4\]_i_1/I1 (257.7:298.7:298.7) (257.7:298.7:298.7))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/count_reg\[4\]/Q U0/UARTLITE_CORE_I/BAUD_RATE_I/count\[0\]_i_1/I2 (342.7:400.7:400.7) (342.7:400.7:400.7))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/count_reg\[4\]/Q U0/UARTLITE_CORE_I/BAUD_RATE_I/count\[2\]_i_1/I3 (172.7:198.7:198.7) (172.7:198.7:198.7))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/count_reg\[4\]/Q U0/UARTLITE_CORE_I/BAUD_RATE_I/count\[7\]_i_2/I4 (235.9:273.9:273.9) (235.9:273.9:273.9))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/count_reg\[4\]/Q U0/UARTLITE_CORE_I/BAUD_RATE_I/count\[3\]_i_1/I5 (261.7:303.7:303.7) (261.7:303.7:303.7))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/count_reg\[4\]/Q U0/UARTLITE_CORE_I/BAUD_RATE_I/count\[5\]_i_1/I5 (237.9:275.9:275.9) (237.9:275.9:275.9))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/count_reg\[5\]/Q U0/UARTLITE_CORE_I/BAUD_RATE_I/count\[5\]_i_1/I0 (305.4:359.4:359.4) (305.4:359.4:359.4))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/count_reg\[5\]/Q U0/UARTLITE_CORE_I/BAUD_RATE_I/EN_16x_Baud_i_1/I1 (346.6:406.6:406.6) (346.6:406.6:406.6))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/count_reg\[5\]/Q U0/UARTLITE_CORE_I/BAUD_RATE_I/count\[2\]_i_2/I1 (425.6:500.6:500.6) (425.6:500.6:500.6))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/count_reg\[5\]/Q U0/UARTLITE_CORE_I/BAUD_RATE_I/count\[6\]_i_1/I2 (346.6:406.6:406.6) (346.6:406.6:406.6))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/count_reg\[5\]/Q U0/UARTLITE_CORE_I/BAUD_RATE_I/count\[7\]_i_1/I2 (350.6:411.6:411.6) (350.6:411.6:411.6))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/count_reg\[5\]/Q U0/UARTLITE_CORE_I/BAUD_RATE_I/count\[3\]_i_1/I3 (425.6:500.6:500.6) (425.6:500.6:500.6))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/count_reg\[5\]/Q U0/UARTLITE_CORE_I/BAUD_RATE_I/count\[4\]_i_1/I3 (425.6:500.6:500.6) (425.6:500.6:500.6))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/count_reg\[6\]/Q U0/UARTLITE_CORE_I/BAUD_RATE_I/count\[2\]_i_2/I0 (374.0:448.0:448.0) (374.0:448.0:448.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/count_reg\[6\]/Q U0/UARTLITE_CORE_I/BAUD_RATE_I/count\[6\]_i_1/I1 (297.6:357.6:357.6) (297.6:357.6:357.6))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/count_reg\[6\]/Q U0/UARTLITE_CORE_I/BAUD_RATE_I/count\[7\]_i_1/I1 (165.6:198.6:198.6) (165.6:198.6:198.6))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/count_reg\[6\]/Q U0/UARTLITE_CORE_I/BAUD_RATE_I/EN_16x_Baud_i_1/I2 (297.6:357.6:357.6) (297.6:357.6:357.6))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/count_reg\[6\]/Q U0/UARTLITE_CORE_I/BAUD_RATE_I/count\[4\]_i_1/I2 (374.0:448.0:448.0) (374.0:448.0:448.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/count_reg\[6\]/Q U0/UARTLITE_CORE_I/BAUD_RATE_I/count\[3\]_i_1/I4 (375.0:449.0:449.0) (375.0:449.0:449.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/count_reg\[7\]/Q U0/UARTLITE_CORE_I/BAUD_RATE_I/EN_16x_Baud_i_1/I0 (312.7:366.7:366.7) (312.7:366.7:366.7))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/count_reg\[7\]/Q U0/UARTLITE_CORE_I/BAUD_RATE_I/count\[2\]_i_2/I2 (385.8:453.8:453.8) (385.8:453.8:453.8))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/count_reg\[7\]/Q U0/UARTLITE_CORE_I/BAUD_RATE_I/count\[3\]_i_1/I2 (386.8:454.8:454.8) (386.8:454.8:454.8))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/count_reg\[7\]/Q U0/UARTLITE_CORE_I/BAUD_RATE_I/count\[6\]_i_1/I3 (312.7:366.7:366.7) (312.7:366.7:366.7))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/count_reg\[7\]/Q U0/UARTLITE_CORE_I/BAUD_RATE_I/count\[7\]_i_1/I3 (313.7:367.7:367.7) (313.7:367.7:367.7))
      (INTERCONNECT U0/UARTLITE_CORE_I/BAUD_RATE_I/count_reg\[7\]/Q U0/UARTLITE_CORE_I/BAUD_RATE_I/count\[4\]_i_1/I4 (385.8:453.8:453.8) (385.8:453.8:453.8))
      (INTERCONNECT U0/UARTLITE_CORE_I/Interrupt_reg/Q addr_reg\[3\]_i_3/I0 (387.4:458.4:458.4) (387.4:458.4:458.4))
      (INTERCONNECT U0/UARTLITE_CORE_I/Interrupt_reg/Q FSM_sequential_sys_state\[0\]_i_2/I1 (175.5:208.5:208.5) (175.5:208.5:208.5))
      (INTERCONNECT U0/UARTLITE_CORE_I/Interrupt_reg/Q FSM_sequential_sys_state\[1\]_i_2/I1 (249.6:297.6:297.6) (249.6:297.6:297.6))
      (INTERCONNECT U0/UARTLITE_CORE_I/Interrupt_reg/Q FSM_sequential_sys_state\[2\]_i_3/I1 (382.5:451.5:451.5) (382.5:451.5:451.5))
      (INTERCONNECT U0/UARTLITE_CORE_I/Interrupt_reg/Q addr_reg\[2\]_i_2/I1 (209.4:247.4:247.4) (209.4:247.4:247.4))
      (INTERCONNECT U0/UARTLITE_CORE_I/Interrupt_reg/Q axi_data_wr_reg\[7\]_i_3/I2 (209.4:247.4:247.4) (209.4:247.4:247.4))
      (INTERCONNECT U0/UARTLITE_CORE_I/Interrupt_reg/Q cipher_data_reg\[127\]_i_5/I3 (455.6:537.6:537.6) (455.6:537.6:537.6))
      (INTERCONNECT U0/UARTLITE_CORE_I/Interrupt_reg/Q FSM_sequential_sys_state\[2\]_i_5/I4 (301.5:357.5:357.5) (301.5:357.5:357.5))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/INFERRED_GEN\.data_reg\[14\]\[0\]_srl15/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/INFERRED_GEN\.data_reg\[15\]\[0\]/D (25.0:31.0:31.0) (25.0:31.0:31.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/INFERRED_GEN\.data_reg\[14\]\[0\]_srl15_i_1/O U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/INFERRED_GEN\.data_reg\[14\]\[0\]_srl15/D (160.5:192.5:192.5) (160.5:192.5:192.5))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/INFERRED_GEN\.data_reg\[15\]\[0\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/fifo_Write_i_1/I0 (453.1:536.1:536.1) (453.1:536.1:536.1))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/INFERRED_GEN\.data_reg\[15\]\[0\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/frame_err_ocrd_i_1/I0 (453.1:536.1:536.1) (453.1:536.1:536.1))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/INFERRED_GEN\.data_reg\[15\]\[0\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/SERIAL_TO_PARALLEL\[8\]\.fifo_din\[8\]_i_2/I1 (452.1:535.1:535.1) (452.1:535.1:535.1))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/INFERRED_GEN\.data_reg\[15\]\[0\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/running_i_1/I1 (452.1:535.1:535.1) (452.1:535.1:535.1))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/INFERRED_GEN\.data_reg\[15\]\[0\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/INFERRED_GEN\.data_reg\[14\]\[0\]_srl15_i_1/I2 (371.8:441.8:441.8) (371.8:441.8:441.8))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/INFERRED_GEN\.data_reg\[15\]\[0\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/status_reg\[1\]_i_2/I2 (371.8:441.8:441.8) (371.8:441.8:441.8))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/INFERRED_GEN\.data_reg\[15\]\[0\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/stop_Bit_Position_i_1/I3 (372.8:443.8:443.8) (372.8:443.8:443.8))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/SERIAL_TO_PARALLEL\[2\]\.fifo_din\[2\]_i_1/O U0/UARTLITE_CORE_I/UARTLITE_RX_I/SERIAL_TO_PARALLEL\[2\]\.fifo_din_reg\[2\]/D (39.0:50.0:50.0) (39.0:50.0:50.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/SERIAL_TO_PARALLEL\[3\]\.fifo_din\[3\]_i_1/O U0/UARTLITE_CORE_I/UARTLITE_RX_I/SERIAL_TO_PARALLEL\[3\]\.fifo_din_reg\[3\]/D (38.0:49.0:49.0) (38.0:49.0:49.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/SERIAL_TO_PARALLEL\[4\]\.fifo_din\[4\]_i_1/O U0/UARTLITE_CORE_I/UARTLITE_RX_I/SERIAL_TO_PARALLEL\[4\]\.fifo_din_reg\[4\]/D (40.0:52.0:52.0) (40.0:52.0:52.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/SERIAL_TO_PARALLEL\[5\]\.fifo_din\[5\]_i_1/O U0/UARTLITE_CORE_I/UARTLITE_RX_I/SERIAL_TO_PARALLEL\[5\]\.fifo_din_reg\[5\]/D (41.0:53.0:53.0) (41.0:53.0:53.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/SERIAL_TO_PARALLEL\[6\]\.fifo_din\[6\]_i_1/O U0/UARTLITE_CORE_I/UARTLITE_RX_I/SERIAL_TO_PARALLEL\[6\]\.fifo_din_reg\[6\]/D (40.0:52.0:52.0) (40.0:52.0:52.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/SERIAL_TO_PARALLEL\[7\]\.fifo_din\[7\]_i_1/O U0/UARTLITE_CORE_I/UARTLITE_RX_I/SERIAL_TO_PARALLEL\[7\]\.fifo_din_reg\[7\]/D (41.0:53.0:53.0) (41.0:53.0:53.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/SERIAL_TO_PARALLEL\[8\]\.fifo_din\[8\]_i_1/O U0/UARTLITE_CORE_I/UARTLITE_RX_I/SERIAL_TO_PARALLEL\[8\]\.fifo_din_reg\[8\]/D (40.0:52.0:52.0) (40.0:52.0:52.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/SERIAL_TO_PARALLEL\[8\]\.fifo_din\[8\]_i_2/O U0/UARTLITE_CORE_I/UARTLITE_RX_I/INPUT_DOUBLE_REGS3/SERIAL_TO_PARALLEL\[1\]\.fifo_din\[1\]_i_1/I2 (479.1:565.1:565.1) (479.1:565.1:565.1))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/SERIAL_TO_PARALLEL\[8\]\.fifo_din\[8\]_i_2/O U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/SERIAL_TO_PARALLEL\[2\]\.fifo_din\[2\]_i_1/I4 (225.5:266.5:266.5) (225.5:266.5:266.5))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/SERIAL_TO_PARALLEL\[8\]\.fifo_din\[8\]_i_2/O U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/SERIAL_TO_PARALLEL\[3\]\.fifo_din\[3\]_i_1/I4 (478.1:565.1:565.1) (478.1:565.1:565.1))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/SERIAL_TO_PARALLEL\[8\]\.fifo_din\[8\]_i_2/O U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/SERIAL_TO_PARALLEL\[4\]\.fifo_din\[4\]_i_1/I4 (373.2:440.2:440.2) (373.2:440.2:440.2))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/SERIAL_TO_PARALLEL\[8\]\.fifo_din\[8\]_i_2/O U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/SERIAL_TO_PARALLEL\[5\]\.fifo_din\[5\]_i_1/I4 (303.2:357.2:357.2) (303.2:357.2:357.2))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/SERIAL_TO_PARALLEL\[8\]\.fifo_din\[8\]_i_2/O U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/SERIAL_TO_PARALLEL\[6\]\.fifo_din\[6\]_i_1/I4 (480.1:566.1:566.1) (480.1:566.1:566.1))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/SERIAL_TO_PARALLEL\[8\]\.fifo_din\[8\]_i_2/O U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/SERIAL_TO_PARALLEL\[7\]\.fifo_din\[7\]_i_1/I4 (480.1:566.1:566.1) (480.1:566.1:566.1))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/SERIAL_TO_PARALLEL\[8\]\.fifo_din\[8\]_i_2/O U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/SERIAL_TO_PARALLEL\[8\]\.fifo_din\[8\]_i_1/I4 (307.1:361.1:361.1) (307.1:361.1:361.1))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/fifo_Write_i_1/O U0/UARTLITE_CORE_I/UARTLITE_RX_I/fifo_Write_reg/D (41.0:53.0:53.0) (41.0:53.0:53.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/frame_err_ocrd_i_1/O U0/UARTLITE_CORE_I/UARTLITE_RX_I/frame_err_ocrd_reg/D (24.0:30.0:30.0) (24.0:30.0:30.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/running_i_1/O U0/UARTLITE_CORE_I/UARTLITE_RX_I/running_reg/D (33.0:42.0:42.0) (33.0:42.0:42.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/status_reg\[1\]_i_1/O U0/UARTLITE_CORE_I/status_reg_reg\[1\]/D (40.0:52.0:52.0) (40.0:52.0:52.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/status_reg\[1\]_i_2/O U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/status_reg\[1\]_i_1/I0 (443.7:532.7:532.7) (443.7:532.7:532.7))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/stop_Bit_Position_i_1/O U0/UARTLITE_CORE_I/UARTLITE_RX_I/stop_Bit_Position_reg/D (40.0:52.0:52.0) (40.0:52.0:52.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/INPUT_DOUBLE_REGS3/GENERATE_LEVEL_P_S_CDC\.SINGLE_BIT\.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/INPUT_DOUBLE_REGS3/GENERATE_LEVEL_P_S_CDC\.SINGLE_BIT\.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2/D (177.9:216.9:216.9) (177.9:216.9:216.9))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/INPUT_DOUBLE_REGS3/GENERATE_LEVEL_P_S_CDC\.SINGLE_BIT\.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/INPUT_DOUBLE_REGS3/GENERATE_LEVEL_P_S_CDC\.SINGLE_BIT\.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3/D (336.4:412.4:412.4) (336.4:412.4:412.4))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/INPUT_DOUBLE_REGS3/GENERATE_LEVEL_P_S_CDC\.SINGLE_BIT\.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/INPUT_DOUBLE_REGS3/GENERATE_LEVEL_P_S_CDC\.SINGLE_BIT\.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4/D (410.8:501.8:501.8) (410.8:501.8:501.8))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/INPUT_DOUBLE_REGS3/GENERATE_LEVEL_P_S_CDC\.SINGLE_BIT\.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/rx_1_reg/D (332.0:401.0:401.0) (332.0:401.0:401.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/INPUT_DOUBLE_REGS3/GENERATE_LEVEL_P_S_CDC\.SINGLE_BIT\.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/status_reg\[1\]_i_1/I1 (508.9:606.9:606.9) (508.9:606.9:606.9))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/INPUT_DOUBLE_REGS3/GENERATE_LEVEL_P_S_CDC\.SINGLE_BIT\.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/fifo_Write_i_1/I3 (449.3:535.3:535.3) (449.3:535.3:535.3))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/INPUT_DOUBLE_REGS3/GENERATE_LEVEL_P_S_CDC\.SINGLE_BIT\.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/frame_err_ocrd_i_1/I3 (449.3:535.3:535.3) (449.3:535.3:535.3))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/INPUT_DOUBLE_REGS3/GENERATE_LEVEL_P_S_CDC\.SINGLE_BIT\.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/INPUT_DOUBLE_REGS3/SERIAL_TO_PARALLEL\[1\]\.fifo_din\[1\]_i_1/I0 (617.9:735.9:735.9) (617.9:735.9:735.9))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/INPUT_DOUBLE_REGS3/SERIAL_TO_PARALLEL\[1\]\.fifo_din\[1\]_i_1/O U0/UARTLITE_CORE_I/UARTLITE_RX_I/SERIAL_TO_PARALLEL\[1\]\.fifo_din_reg\[1\]/D (38.0:48.0:48.0) (38.0:48.0:48.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SERIAL_TO_PARALLEL\[1\]\.fifo_din_reg\[1\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/SERIAL_TO_PARALLEL\[2\]\.fifo_din\[2\]_i_1/I1 (416.6:493.6:493.6) (416.6:493.6:493.6))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SERIAL_TO_PARALLEL\[1\]\.fifo_din_reg\[1\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/INPUT_DOUBLE_REGS3/SERIAL_TO_PARALLEL\[1\]\.fifo_din\[1\]_i_1/I4 (245.6:290.6:290.6) (245.6:290.6:290.6))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SERIAL_TO_PARALLEL\[1\]\.fifo_din_reg\[1\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[0\]_srl16/D (169.8:200.8:200.8) (169.8:200.8:200.8))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SERIAL_TO_PARALLEL\[2\]\.fifo_din_reg\[2\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/SERIAL_TO_PARALLEL\[2\]\.fifo_din\[2\]_i_1/I0 (250.7:294.7:294.7) (250.7:294.7:294.7))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SERIAL_TO_PARALLEL\[2\]\.fifo_din_reg\[2\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/SERIAL_TO_PARALLEL\[3\]\.fifo_din\[3\]_i_1/I1 (251.7:295.7:295.7) (251.7:295.7:295.7))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SERIAL_TO_PARALLEL\[2\]\.fifo_din_reg\[2\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[1\]_srl16/D (180.9:212.9:212.9) (180.9:212.9:212.9))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SERIAL_TO_PARALLEL\[3\]\.fifo_din_reg\[3\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/SERIAL_TO_PARALLEL\[3\]\.fifo_din\[3\]_i_1/I0 (384.3:455.3:455.3) (384.3:455.3:455.3))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SERIAL_TO_PARALLEL\[3\]\.fifo_din_reg\[3\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/SERIAL_TO_PARALLEL\[4\]\.fifo_din\[4\]_i_1/I1 (205.6:242.6:242.6) (205.6:242.6:242.6))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SERIAL_TO_PARALLEL\[3\]\.fifo_din_reg\[3\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[2\]_srl16/D (238.6:283.6:283.6) (238.6:283.6:283.6))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SERIAL_TO_PARALLEL\[4\]\.fifo_din_reg\[4\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/SERIAL_TO_PARALLEL\[4\]\.fifo_din\[4\]_i_1/I0 (238.7:279.7:279.7) (238.7:279.7:279.7))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SERIAL_TO_PARALLEL\[4\]\.fifo_din_reg\[4\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/SERIAL_TO_PARALLEL\[5\]\.fifo_din\[5\]_i_1/I1 (242.7:284.7:284.7) (242.7:284.7:284.7))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SERIAL_TO_PARALLEL\[4\]\.fifo_din_reg\[4\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[3\]_srl16/D (258.2:305.2:305.2) (258.2:305.2:305.2))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SERIAL_TO_PARALLEL\[5\]\.fifo_din_reg\[5\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/SERIAL_TO_PARALLEL\[5\]\.fifo_din\[5\]_i_1/I0 (304.1:358.1:358.1) (304.1:358.1:358.1))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SERIAL_TO_PARALLEL\[5\]\.fifo_din_reg\[5\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/SERIAL_TO_PARALLEL\[6\]\.fifo_din\[6\]_i_1/I1 (213.4:250.4:250.4) (213.4:250.4:250.4))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SERIAL_TO_PARALLEL\[5\]\.fifo_din_reg\[5\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[4\]_srl16/D (321.6:382.6:382.6) (321.6:382.6:382.6))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SERIAL_TO_PARALLEL\[6\]\.fifo_din_reg\[6\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/SERIAL_TO_PARALLEL\[6\]\.fifo_din\[6\]_i_1/I0 (238.4:279.4:279.4) (238.4:279.4:279.4))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SERIAL_TO_PARALLEL\[6\]\.fifo_din_reg\[6\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/SERIAL_TO_PARALLEL\[7\]\.fifo_din\[7\]_i_1/I1 (242.4:284.4:284.4) (242.4:284.4:284.4))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SERIAL_TO_PARALLEL\[6\]\.fifo_din_reg\[6\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[5\]_srl16/D (278.9:325.9:325.9) (278.9:325.9:325.9))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SERIAL_TO_PARALLEL\[7\]\.fifo_din_reg\[7\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/SERIAL_TO_PARALLEL\[7\]\.fifo_din\[7\]_i_1/I0 (314.0:368.0:368.0) (314.0:368.0:368.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SERIAL_TO_PARALLEL\[7\]\.fifo_din_reg\[7\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/SERIAL_TO_PARALLEL\[8\]\.fifo_din\[8\]_i_1/I1 (334.1:395.1:395.1) (334.1:395.1:395.1))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SERIAL_TO_PARALLEL\[7\]\.fifo_din_reg\[7\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[6\]_srl16/D (181.3:213.3:213.3) (181.3:213.3:213.3))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SERIAL_TO_PARALLEL\[8\]\.fifo_din_reg\[8\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/SERIAL_TO_PARALLEL\[8\]\.fifo_din\[8\]_i_1/I0 (303.1:357.1:357.1) (303.1:357.1:357.1))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SERIAL_TO_PARALLEL\[8\]\.fifo_din_reg\[8\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/stop_Bit_Position_i_1/I0 (279.3:331.3:331.3) (279.3:331.3:331.3))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SERIAL_TO_PARALLEL\[8\]\.fifo_din_reg\[8\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[7\]_srl16/D (313.6:374.6:374.6) (313.6:374.6:374.6))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/FIFO_Full_i_1/O U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/FIFO_Full_reg/D (38.0:48.0:48.0) (38.0:48.0:48.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/FIFO_Full_i_2/O U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/FIFO_Full_i_1/I5 (321.1:372.1:372.1) (321.1:372.1:372.1))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[0\]_i_1/O U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[0\]/D (40.0:52.0:52.0) (40.0:52.0:52.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[1\]_i_1/O U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[1\]/D (41.0:53.0:53.0) (41.0:53.0:53.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[2\]_i_1/O U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[2\]/D (33.0:41.0:41.0) (33.0:41.0:41.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[3\]_i_1/O U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[3\]/D (38.0:49.0:49.0) (38.0:49.0:49.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[4\]_i_1/O U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/FIFO_Full_reg/R (317.1:389.1:389.1) (317.1:389.1:389.1))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[4\]_i_1/O U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[0\]/S (213.7:259.7:259.7) (213.7:259.7:259.7))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[4\]_i_1/O U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[1\]/S (213.7:259.7:259.7) (213.7:259.7:259.7))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[4\]_i_1/O U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[2\]/S (317.1:389.1:389.1) (317.1:389.1:389.1))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[4\]_i_1/O U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[3\]/S (317.1:389.1:389.1) (317.1:389.1:389.1))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[4\]_i_1/O U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[4\]/S (317.1:389.1:389.1) (317.1:389.1:389.1))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[4\]_i_2/O U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[4\]/D (38.0:48.0:48.0) (38.0:48.0:48.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[4\]_i_4/O U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[4\]_i_2/I1 (300.5:358.5:358.5) (300.5:358.5:358.5))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[4\]_i_5__0/O U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[4\]_i_2/I3 (371.7:447.7:447.7) (371.7:447.7:447.7))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[4\]_i_6/O U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/FIFO_Full_i_1/I0 (477.8:568.8:568.8) (477.8:568.8:568.8))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[4\]_i_6/O U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[0\]_i_1/I3 (276.3:329.3:329.3) (276.3:329.3:329.3))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[4\]_i_6/O U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[2\]_i_1/I4 (371.3:439.3:439.3) (371.3:439.3:439.3))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[4\]_i_6/O U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[1\]_i_1/I5 (206.3:246.3:246.3) (206.3:246.3:246.3))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[4\]_i_6/O U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[3\]_i_1/I5 (371.3:439.3:439.3) (371.3:439.3:439.3))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[4\]_i_6/O U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[4\]_i_2/I5 (252.3:300.3:300.3) (252.3:300.3:300.3))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[0\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[0\]_srl16/A0 (510.9:599.9:599.9) (510.9:599.9:599.9))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[0\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[1\]_srl16/A0 (509.9:597.9:597.9) (509.9:597.9:597.9))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[0\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[2\]_srl16/A0 (411.8:481.8:481.8) (411.8:481.8:481.8))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[0\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[3\]_srl16/A0 (425.8:489.8:489.8) (425.8:489.8:489.8))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[0\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[4\]_srl16/A0 (510.9:599.9:599.9) (510.9:599.9:599.9))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[0\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[5\]_srl16/A0 (509.9:597.9:597.9) (509.9:597.9:597.9))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[0\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[6\]_srl16/A0 (411.8:481.8:481.8) (411.8:481.8:481.8))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[0\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[7\]_srl16/A0 (425.8:489.8:489.8) (425.8:489.8:489.8))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[0\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[2\]_i_1/I0 (498.0:585.0:585.0) (498.0:585.0:585.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[0\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[3\]_i_1/I0 (269.9:315.9:315.9) (269.9:315.9:315.9))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[0\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/FIFO_Full_i_1/I1 (429.0:500.0:500.0) (429.0:500.0:500.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[0\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[0\]_i_1/I4 (246.3:287.3:287.3) (246.3:287.3:287.3))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[0\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[1\]_i_1/I4 (250.3:292.3:292.3) (250.3:292.3:292.3))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[0\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[4\]_i_2/I4 (402.3:474.3:474.3) (402.3:474.3:474.3))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[1\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[0\]_srl16/A1 (342.9:405.9:405.9) (342.9:405.9:405.9))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[1\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[1\]_srl16/A1 (343.9:406.9:406.9) (343.9:406.9:406.9))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[1\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[2\]_srl16/A1 (467.5:549.5:549.5) (467.5:549.5:549.5))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[1\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[3\]_srl16/A1 (473.5:553.5:553.5) (473.5:553.5:553.5))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[1\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[4\]_srl16/A1 (342.9:405.9:405.9) (342.9:405.9:405.9))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[1\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[5\]_srl16/A1 (343.9:406.9:406.9) (343.9:406.9:406.9))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[1\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[6\]_srl16/A1 (467.5:549.5:549.5) (467.5:549.5:549.5))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[1\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[7\]_srl16/A1 (473.5:553.5:553.5) (473.5:553.5:553.5))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[1\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/FIFO_Full_i_2/I0 (368.5:431.5:431.5) (368.5:431.5:431.5))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[1\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[1\]_i_1/I0 (533.5:627.5:627.5) (533.5:627.5:627.5))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[1\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[4\]_i_4/I1 (454.5:533.5:533.5) (454.5:533.5:533.5))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[1\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[4\]_i_5__0/I1 (454.5:533.5:533.5) (454.5:533.5:533.5))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[1\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[2\]_i_1/I2 (368.5:431.5:431.5) (368.5:431.5:431.5))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[1\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[3\]_i_1/I3 (369.5:433.5:433.5) (369.5:433.5:433.5))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[2\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[0\]_srl16/A2 (320.5:372.5:372.5) (320.5:372.5:372.5))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[2\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[1\]_srl16/A2 (320.5:372.5:372.5) (320.5:372.5:372.5))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[2\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[2\]_srl16/A2 (320.5:372.5:372.5) (320.5:372.5:372.5))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[2\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[3\]_srl16/A2 (327.5:382.5:382.5) (327.5:382.5:382.5))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[2\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[4\]_srl16/A2 (320.5:372.5:372.5) (320.5:372.5:372.5))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[2\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[5\]_srl16/A2 (320.5:372.5:372.5) (320.5:372.5:372.5))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[2\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[6\]_srl16/A2 (320.5:372.5:372.5) (320.5:372.5:372.5))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[2\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[7\]_srl16/A2 (327.5:382.5:382.5) (327.5:382.5:382.5))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[2\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[4\]_i_4/I0 (152.2:176.2:176.2) (152.2:176.2:176.2))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[2\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[4\]_i_5__0/I0 (152.2:176.2:176.2) (152.2:176.2:176.2))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[2\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/FIFO_Full_i_2/I1 (260.2:304.2:304.2) (260.2:304.2:304.2))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[2\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[2\]_i_1/I3 (260.2:304.2:304.2) (260.2:304.2:304.2))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[2\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[3\]_i_1/I4 (261.2:305.2:305.2) (261.2:305.2:305.2))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[3\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[0\]_srl16/A3 (405.8:480.8:480.8) (405.8:480.8:480.8))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[3\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[1\]_srl16/A3 (325.5:380.5:380.5) (325.5:380.5:380.5))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[3\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[2\]_srl16/A3 (417.3:493.3:493.3) (417.3:493.3:493.3))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[3\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[3\]_srl16/A3 (417.3:494.3:494.3) (417.3:494.3:494.3))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[3\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[4\]_srl16/A3 (405.8:480.8:480.8) (405.8:480.8:480.8))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[3\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[5\]_srl16/A3 (325.5:380.5:380.5) (325.5:380.5:380.5))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[3\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[6\]_srl16/A3 (417.3:493.3:493.3) (417.3:493.3:493.3))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[3\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[7\]_srl16/A3 (417.3:494.3:494.3) (417.3:494.3:494.3))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[3\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[3\]_i_1/I2 (402.0:473.0:473.0) (402.0:473.0:473.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[3\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[4\]_i_4/I2 (218.8:255.8:255.8) (218.8:255.8:255.8))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[3\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[4\]_i_5__0/I2 (218.8:255.8:255.8) (218.8:255.8:255.8))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[3\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/FIFO_Full_i_1/I4 (234.0:275.0:275.0) (234.0:275.0:275.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[4\]/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/rx_Data_Present_Pre_i_1/I0 (222.1:255.1:255.1) (222.1:255.1:255.1))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[4\]/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_rdata_i\[0\]_i_1/I0 (399.0:468.0:468.0) (399.0:468.0:468.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[4\]/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/INFERRED_GEN\.cnt_i\[3\]_i_2/I2 (399.0:468.0:468.0) (399.0:468.0:468.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[4\]/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_rresp_i\[1\]_i_1/I4 (518.2:606.2:606.2) (518.2:606.2:606.2))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[4\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/Interrupt_i_2/I1 (427.1:496.1:496.1) (427.1:496.1:496.1))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[4\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/FIFO_Full_i_1/I2 (345.9:395.9:395.9) (345.9:395.9:395.9))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[4\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[0\]_i_1/I2 (191.1:222.1:222.1) (191.1:222.1:222.1))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[4\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[4\]_i_2/I2 (262.0:307.0:307.0) (262.0:307.0:307.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[4\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[1\]_i_1/I3 (190.1:220.1:220.1) (190.1:220.1:220.1))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/Interrupt_i_2/O U0/UARTLITE_CORE_I/Interrupt_reg/D (40.0:52.0:52.0) (40.0:52.0:52.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[0\]_srl16/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_rdata_i\[7\]_i_2/I3 (383.2:458.2:458.2) (383.2:458.2:458.2))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[1\]_srl16/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_rdata_i\[6\]_i_1/I1 (371.5:443.5:443.5) (371.5:443.5:443.5))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[2\]_srl16/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_rdata_i\[5\]_i_1/I1 (431.0:513.0:513.0) (431.0:513.0:513.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[3\]_srl16/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_rdata_i\[4\]_i_1/I1 (376.6:448.6:448.6) (376.6:448.6:448.6))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[4\]_srl16/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_rdata_i\[3\]_i_1/I1 (334.4:405.4:405.4) (334.4:405.4:405.4))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[5\]_srl16/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_rdata_i\[2\]_i_1/I1 (365.3:440.3:440.3) (365.3:440.3:440.3))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[6\]_srl16/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_rdata_i\[1\]_i_1/I1 (376.0:451.0:451.0) (376.0:451.0:451.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[7\]_srl16/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_rdata_i\[0\]_i_1/I1 (343.8:416.8:416.8) (343.8:416.8:416.8))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[7\]_srl16_i_1__0/O U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[0\]_srl16/CE (167.8:202.8:202.8) (167.8:202.8:202.8))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[7\]_srl16_i_1__0/O U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[1\]_srl16/CE (167.8:202.8:202.8) (167.8:202.8:202.8))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[7\]_srl16_i_1__0/O U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[2\]_srl16/CE (167.8:202.8:202.8) (167.8:202.8:202.8))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[7\]_srl16_i_1__0/O U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[3\]_srl16/CE (167.8:202.8:202.8) (167.8:202.8:202.8))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[7\]_srl16_i_1__0/O U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[4\]_srl16/CE (167.8:202.8:202.8) (167.8:202.8:202.8))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[7\]_srl16_i_1__0/O U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[5\]_srl16/CE (167.8:202.8:202.8) (167.8:202.8:202.8))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[7\]_srl16_i_1__0/O U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[6\]_srl16/CE (167.8:202.8:202.8) (167.8:202.8:202.8))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[7\]_srl16_i_1__0/O U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[7\]_srl16/CE (167.8:202.8:202.8) (167.8:202.8:202.8))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/FIFO_Full_reg/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_rdata_i\[1\]_i_1/I0 (386.1:459.1:459.1) (386.1:459.1:459.1))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/FIFO_Full_reg/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[4\]_i_6/I1 (463.0:550.0:550.0) (463.0:550.0:550.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/FIFO_Full_reg/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[7\]_srl16_i_1__0/I1 (391.0:465.0:465.0) (391.0:465.0:465.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/FIFO_Full_reg/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/status_reg\[2\]_i_1/I2 (221.8:264.8:264.8) (221.8:264.8:264.8))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/status_reg\[2\]_i_1/O U0/UARTLITE_CORE_I/status_reg_reg\[2\]/D (38.0:48.0:48.0) (38.0:48.0:48.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/fifo_Write_reg/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/valid_rx_i_1/I1 (304.1:360.1:360.1) (304.1:360.1:360.1))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/fifo_Write_reg/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/status_reg\[2\]_i_1/I1 (478.5:557.5:557.5) (478.5:557.5:557.5))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/fifo_Write_reg/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[4\]_i_6/I0 (331.6:388.6:388.6) (331.6:388.6:388.6))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/fifo_Write_reg/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[7\]_srl16_i_1__0/I2 (403.6:474.6:474.6) (403.6:474.6:474.6))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/frame_err_ocrd_reg/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/start_Edge_Detected_i_1/I5 (500.1:598.1:598.1) (500.1:598.1:598.1))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/frame_err_ocrd_reg/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/frame_err_ocrd_i_1/I4 (333.0:400.0:400.0) (333.0:400.0:400.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/running_reg/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/start_Edge_Detected_i_2/I3 (465.4:553.4:553.4) (465.4:553.4:553.4))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/running_reg/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/running_i_1/I4 (228.9:269.9:269.9) (228.9:269.9:269.9))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/rx_1_reg/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/rx_2_reg/D (344.3:416.3:416.3) (344.3:416.3:416.3))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/rx_1_reg/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/start_Edge_Detected_i_1/I4 (400.3:477.3:477.3) (400.3:477.3:477.3))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/rx_2_reg/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/rx_3_reg/D (232.6:284.6:284.6) (232.6:284.6:284.6))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/rx_2_reg/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/start_Edge_Detected_i_1/I1 (160.6:194.6:194.6) (160.6:194.6:194.6))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/rx_3_reg/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/rx_4_reg/D (422.9:507.9:507.9) (422.9:507.9:507.9))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/rx_3_reg/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/start_Edge_Detected_i_1/I3 (306.9:362.9:362.9) (306.9:362.9:362.9))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/rx_4_reg/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/rx_5_reg/D (422.6:508.6:508.6) (422.6:508.6:508.6))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/rx_4_reg/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/start_Edge_Detected_i_2/I5 (306.9:362.9:362.9) (306.9:362.9:362.9))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/rx_5_reg/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/rx_6_reg/D (261.4:316.4:316.4) (261.4:316.4:316.4))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/rx_5_reg/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/start_Edge_Detected_i_2/I0 (242.1:286.1:286.1) (242.1:286.1:286.1))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/rx_6_reg/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/rx_7_reg/D (484.5:585.5:585.5) (484.5:585.5:585.5))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/rx_6_reg/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/start_Edge_Detected_i_2/I4 (387.5:464.5:464.5) (387.5:464.5:464.5))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/rx_7_reg/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/rx_8_reg/D (393.7:476.7:476.7) (393.7:476.7:476.7))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/rx_7_reg/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/start_Edge_Detected_i_2/I1 (424.7:506.7:506.7) (424.7:506.7:506.7))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/rx_8_reg/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/rx_9_reg/D (415.6:498.6:498.6) (415.6:498.6:498.6))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/rx_8_reg/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/start_Edge_Detected_i_1/I0 (548.6:650.6:650.6) (548.6:650.6:650.6))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/rx_9_reg/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/start_Edge_Detected_i_2/I2 (138.5:164.5:164.5) (138.5:164.5:164.5))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/start_Edge_Detected_i_1/O U0/UARTLITE_CORE_I/UARTLITE_RX_I/start_Edge_Detected_reg/D (39.0:50.0:50.0) (39.0:50.0:50.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/start_Edge_Detected_i_2/O U0/UARTLITE_CORE_I/UARTLITE_RX_I/start_Edge_Detected_i_1/I2 (203.8:245.8:245.8) (203.8:245.8:245.8))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/start_Edge_Detected_reg/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/valid_rx_i_1/I0 (362.7:430.7:430.7) (362.7:430.7:430.7))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/start_Edge_Detected_reg/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/running_i_1/I0 (673.8:795.8:795.8) (673.8:795.8:795.8))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/start_Edge_Detected_reg/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/SERIAL_TO_PARALLEL\[2\]\.fifo_din\[2\]_i_1/I2 (706.3:833.3:833.3) (706.3:833.3:833.3))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/start_Edge_Detected_reg/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/SERIAL_TO_PARALLEL\[3\]\.fifo_din\[3\]_i_1/I2 (705.3:831.3:831.3) (705.3:831.3:831.3))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/start_Edge_Detected_reg/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/SERIAL_TO_PARALLEL\[4\]\.fifo_din\[4\]_i_1/I2 (611.9:726.9:726.9) (611.9:726.9:726.9))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/start_Edge_Detected_reg/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/SERIAL_TO_PARALLEL\[5\]\.fifo_din\[5\]_i_1/I2 (611.9:726.9:726.9) (611.9:726.9:726.9))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/start_Edge_Detected_reg/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/SERIAL_TO_PARALLEL\[6\]\.fifo_din\[6\]_i_1/I2 (603.3:711.3:711.3) (603.3:711.3:711.3))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/start_Edge_Detected_reg/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/SERIAL_TO_PARALLEL\[7\]\.fifo_din\[7\]_i_1/I2 (603.3:711.3:711.3) (603.3:711.3:711.3))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/start_Edge_Detected_reg/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/SERIAL_TO_PARALLEL\[8\]\.fifo_din\[8\]_i_1/I2 (490.3:577.3:577.3) (490.3:577.3:577.3))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/start_Edge_Detected_reg/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/INFERRED_GEN\.data_reg\[14\]\[0\]_srl15_i_1/I3 (507.5:601.5:601.5) (507.5:601.5:601.5))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/start_Edge_Detected_reg/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/INPUT_DOUBLE_REGS3/SERIAL_TO_PARALLEL\[1\]\.fifo_din\[1\]_i_1/I1 (458.4:547.4:547.4) (458.4:547.4:547.4))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/stop_Bit_Position_reg/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/INFERRED_GEN\.data_reg\[14\]\[0\]_srl15_i_1/I0 (242.7:284.7:284.7) (242.7:284.7:284.7))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/stop_Bit_Position_reg/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/status_reg\[1\]_i_2/I0 (242.7:284.7:284.7) (242.7:284.7:284.7))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/stop_Bit_Position_reg/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/stop_Bit_Position_i_1/I1 (241.7:283.7:283.7) (241.7:283.7:283.7))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/stop_Bit_Position_reg/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/SERIAL_TO_PARALLEL\[8\]\.fifo_din\[8\]_i_2/I2 (401.0:470.0:470.0) (401.0:470.0:470.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/stop_Bit_Position_reg/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/fifo_Write_i_1/I2 (401.0:470.0:470.0) (401.0:470.0:470.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/stop_Bit_Position_reg/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/frame_err_ocrd_i_1/I2 (401.0:470.0:470.0) (401.0:470.0:470.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/stop_Bit_Position_reg/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/running_i_1/I3 (401.0:470.0:470.0) (401.0:470.0:470.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/valid_rx_i_1/O U0/UARTLITE_CORE_I/UARTLITE_RX_I/valid_rx_reg/D (40.0:52.0:52.0) (40.0:52.0:52.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/valid_rx_reg/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/valid_rx_i_1/I2 (310.7:364.7:364.7) (310.7:364.7:364.7))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/valid_rx_reg/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/INFERRED_GEN\.data_reg\[14\]\[0\]_srl15_i_1/I1 (397.3:466.3:466.3) (397.3:466.3:466.3))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/valid_rx_reg/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[4\]_i_6/I2 (395.3:463.3:463.3) (395.3:463.3:463.3))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_RX_I/valid_rx_reg/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[7\]_srl16_i_1__0/I0 (233.4:273.4:273.4) (233.4:273.4:273.4))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/MID_START_BIT_SRL16_I/INFERRED_GEN\.data_reg\[14\]\[0\]_srl15/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/MID_START_BIT_SRL16_I/INFERRED_GEN\.data_reg\[15\]\[0\]/D (25.0:31.0:31.0) (25.0:31.0:31.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/MID_START_BIT_SRL16_I/INFERRED_GEN\.data_reg\[15\]\[0\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/MID_START_BIT_SRL16_I/INFERRED_GEN\.data_reg\[14\]\[0\]_srl15/D (230.4:278.4:278.4) (230.4:278.4:278.4))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/MID_START_BIT_SRL16_I/INFERRED_GEN\.data_reg\[15\]\[0\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/MID_START_BIT_SRL16_I/tx_Data_Enable_i_1/I0 (245.4:294.4:294.4) (245.4:294.4:294.4))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/MID_START_BIT_SRL16_I/tx_Data_Enable_i_1/O U0/UARTLITE_CORE_I/UARTLITE_TX_I/tx_Data_Enable_reg/D (39.0:50.0:50.0) (39.0:50.0:50.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/FIFO_Full_i_1__0/O U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/FIFO_Full_reg/D (40.0:52.0:52.0) (40.0:52.0:52.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/FIFO_Full_i_2__0/O U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/FIFO_Full_i_1__0/I5 (125.9:149.9:149.9) (125.9:149.9:149.9))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[0\]_i_1__0/O U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[0\]/D (41.0:53.0:53.0) (41.0:53.0:53.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[1\]_i_1__0/O U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[1\]/D (39.0:50.0:50.0) (39.0:50.0:50.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[2\]_i_1__0/O U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[2\]/D (40.0:52.0:52.0) (40.0:52.0:52.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[3\]_i_1__0/O U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[3\]/D (38.0:49.0:49.0) (38.0:49.0:49.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[3\]_i_2__0/O U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[3\]_i_1__0/I3 (159.8:195.8:195.8) (159.8:195.8:195.8))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[4\]_i_1__0/O U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/FIFO_Full_reg/R (291.2:357.2:357.2) (291.2:357.2:357.2))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[4\]_i_1__0/O U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[0\]/S (291.2:357.2:357.2) (291.2:357.2:357.2))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[4\]_i_1__0/O U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[1\]/S (292.2:359.2:359.2) (292.2:359.2:359.2))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[4\]_i_1__0/O U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[2\]/S (291.2:357.2:357.2) (291.2:357.2:357.2))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[4\]_i_1__0/O U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[3\]/S (292.2:359.2:359.2) (292.2:359.2:359.2))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[4\]_i_1__0/O U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[4\]/S (292.2:359.2:359.2) (292.2:359.2:359.2))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[4\]_i_2__0/O U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[4\]/D (38.0:48.0:48.0) (38.0:48.0:48.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[4\]_i_3__0/O U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[4\]_i_2__0/I0 (137.6:163.6:163.6) (137.6:163.6:163.6))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[4\]_i_4__0/O U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[4\]_i_2__0/I3 (159.4:196.4:196.4) (159.4:196.4:196.4))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[0\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[0\]_srl16/A0 (508.1:594.1:594.1) (508.1:594.1:594.1))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[0\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[1\]_srl16/A0 (507.1:592.1:592.1) (507.1:592.1:592.1))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[0\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[2\]_srl16/A0 (438.9:508.9:508.9) (438.9:508.9:508.9))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[0\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[3\]_srl16/A0 (452.9:516.9:516.9) (452.9:516.9:516.9))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[0\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[4\]_srl16/A0 (508.1:594.1:594.1) (508.1:594.1:594.1))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[0\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[5\]_srl16/A0 (507.1:592.1:592.1) (507.1:592.1:592.1))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[0\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[6\]_srl16/A0 (438.9:508.9:508.9) (438.9:508.9:508.9))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[0\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[7\]_srl16/A0 (452.9:516.9:516.9) (452.9:516.9:516.9))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[0\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/FIFO_Full_i_1__0/I1 (378.1:439.1:439.1) (378.1:439.1:439.1))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[0\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[1\]_i_1__0/I3 (261.0:299.0:299.0) (261.0:299.0:299.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[0\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[2\]_i_1__0/I3 (349.0:403.0:403.0) (349.0:403.0:403.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[0\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[4\]_i_2__0/I4 (452.1:527.1:527.1) (452.1:527.1:527.1))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[0\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[0\]_i_1__0/I5 (350.0:404.0:404.0) (350.0:404.0:404.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[0\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[3\]_i_1__0/I5 (261.0:299.0:299.0) (261.0:299.0:299.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[1\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[0\]_srl16/A1 (432.5:506.5:506.5) (432.5:506.5:506.5))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[1\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[1\]_srl16/A1 (433.5:507.5:507.5) (433.5:507.5:507.5))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[1\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[2\]_srl16/A1 (428.2:500.2:500.2) (428.2:500.2:500.2))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[1\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[3\]_srl16/A1 (434.2:504.2:504.2) (434.2:504.2:504.2))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[1\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[4\]_srl16/A1 (432.5:506.5:506.5) (432.5:506.5:506.5))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[1\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[5\]_srl16/A1 (433.5:507.5:507.5) (433.5:507.5:507.5))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[1\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[6\]_srl16/A1 (428.2:500.2:500.2) (428.2:500.2:500.2))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[1\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[7\]_srl16/A1 (434.2:504.2:504.2) (434.2:504.2:504.2))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[1\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/FIFO_Full_i_2__0/I0 (348.5:405.5:405.5) (348.5:405.5:405.5))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[1\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[1\]_i_1__0/I0 (277.5:321.5:321.5) (277.5:321.5:321.5))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[1\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[3\]_i_1__0/I1 (537.4:631.4:631.4) (537.4:631.4:631.4))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[1\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[4\]_i_4__0/I1 (452.9:521.9:521.9) (452.9:521.9:521.9))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[1\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[4\]_i_3__0/I3 (452.9:521.9:521.9) (452.9:521.9:521.9))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[1\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[2\]_i_1__0/I5 (169.5:193.5:193.5) (169.5:193.5:193.5))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[2\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[0\]_srl16/A2 (393.9:460.9:460.9) (393.9:460.9:460.9))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[2\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[1\]_srl16/A2 (544.2:639.2:639.2) (544.2:639.2:639.2))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[2\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[2\]_srl16/A2 (544.2:639.2:639.2) (544.2:639.2:639.2))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[2\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[3\]_srl16/A2 (551.2:649.2:649.2) (551.2:649.2:649.2))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[2\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[4\]_srl16/A2 (393.9:460.9:460.9) (393.9:460.9:460.9))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[2\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[5\]_srl16/A2 (544.2:639.2:639.2) (544.2:639.2:639.2))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[2\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[6\]_srl16/A2 (544.2:639.2:639.2) (544.2:639.2:639.2))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[2\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[7\]_srl16/A2 (551.2:649.2:649.2) (551.2:649.2:649.2))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[2\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[4\]_i_4__0/I0 (356.8:407.8:407.8) (356.8:407.8:407.8))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[2\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/FIFO_Full_i_2__0/I1 (421.9:495.9:495.9) (421.9:495.9:495.9))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[2\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[3\]_i_1__0/I2 (170.8:196.8:196.8) (170.8:196.8:196.8))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[2\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[4\]_i_3__0/I2 (356.8:407.8:407.8) (356.8:407.8:407.8))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[2\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[2\]_i_1__0/I4 (403.9:473.9:473.9) (403.9:473.9:473.9))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[3\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[0\]_srl16/A3 (238.3:276.3:276.3) (238.3:276.3:276.3))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[3\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[1\]_srl16/A3 (239.3:278.3:278.3) (239.3:278.3:278.3))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[3\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[2\]_srl16/A3 (431.7:508.7:508.7) (431.7:508.7:508.7))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[3\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[3\]_srl16/A3 (431.7:509.7:509.7) (431.7:509.7:509.7))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[3\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[4\]_srl16/A3 (238.3:276.3:276.3) (238.3:276.3:276.3))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[3\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[5\]_srl16/A3 (239.3:278.3:278.3) (239.3:278.3:278.3))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[3\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[6\]_srl16/A3 (431.7:508.7:508.7) (431.7:508.7:508.7))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[3\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[7\]_srl16/A3 (431.7:509.7:509.7) (431.7:509.7:509.7))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[3\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[3\]_i_1__0/I0 (394.9:465.9:465.9) (394.9:465.9:465.9))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[3\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[4\]_i_3__0/I0 (226.9:267.9:267.9) (226.9:267.9:267.9))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[3\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[4\]_i_4__0/I2 (226.9:267.9:267.9) (226.9:267.9:267.9))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[3\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/FIFO_Full_i_1__0/I4 (98.7:114.7:114.7) (98.7:114.7:114.7))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[4\]/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_rdata_i\[2\]_i_1/I0 (492.0:577.0:577.0) (492.0:577.0:577.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[4\]/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/tx_Buffer_Empty_Pre_i_1/I1 (319.5:371.5:371.5) (319.5:371.5:371.5))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[4\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/Interrupt_i_2/I3 (301.1:353.1:353.1) (301.1:353.1:353.1))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[4\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[0\]_i_1__0/I0 (348.4:404.4:404.4) (348.4:404.4:404.4))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[4\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[2\]_i_1__0/I0 (344.4:399.4:399.4) (344.4:399.4:399.4))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[4\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[3\]_i_2__0/I0 (433.1:510.1:510.1) (433.1:510.1:510.1))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[4\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[1\]_i_1__0/I1 (433.1:510.1:510.1) (433.1:510.1:510.1))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[4\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/tx_Start_i_1/I1 (432.4:504.4:504.4) (432.4:504.4:504.4))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[4\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/FIFO_Full_i_1__0/I2 (230.0:267.0:267.0) (230.0:267.0:267.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i_reg\[4\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[4\]_i_2__0/I2 (429.4:501.4:501.4) (429.4:501.4:501.4))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/tx_Start_i_1/O U0/UARTLITE_CORE_I/UARTLITE_TX_I/tx_Start_reg/D (39.0:50.0:50.0) (39.0:50.0:50.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[0\]_srl16/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/serial_Data_i_5/I1 (387.5:452.5:452.5) (387.5:452.5:452.5))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[1\]_srl16/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/serial_Data_i_4/I2 (276.8:328.8:328.8) (276.8:328.8:328.8))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[2\]_srl16/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/serial_Data_i_2/I2 (374.9:445.9:445.9) (374.9:445.9:445.9))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[3\]_srl16/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/serial_Data_i_4/I4 (468.3:557.3:557.3) (468.3:557.3:557.3))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[4\]_srl16/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/serial_Data_i_5/I0 (451.3:533.3:533.3) (451.3:533.3:533.3))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[5\]_srl16/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/serial_Data_i_3/I2 (279.3:339.3:339.3) (279.3:339.3:339.3))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[6\]_srl16/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/serial_Data_i_2/I4 (227.3:277.3:277.3) (227.3:277.3:277.3))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/INFERRED_GEN\.data_reg\[15\]\[7\]_srl16/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/serial_Data_i_3/I4 (225.7:276.7:276.7) (225.7:276.7:276.7))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/serial_Data_i_1/O U0/UARTLITE_CORE_I/UARTLITE_TX_I/serial_Data_reg/D (39.0:50.0:50.0) (39.0:50.0:50.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/serial_Data_i_2/O U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/serial_Data_i_1/I0 (206.4:244.4:244.4) (206.4:244.4:244.4))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/serial_Data_i_3/O U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/serial_Data_i_1/I1 (89.6:107.6:107.6) (89.6:107.6:107.6))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/serial_Data_i_4/O U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/serial_Data_i_1/I2 (208.0:246.0:246.0) (208.0:246.0:246.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/serial_Data_i_5/O U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/serial_Data_i_1/I3 (308.6:366.6:366.6) (308.6:366.6:366.6))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/FIFO_Full_reg/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/INFERRED_GEN\.data_reg\[15\]\[7\]_srl16_i_1/I0 (335.7:395.7:395.7) (335.7:395.7:395.7))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/FIFO_Full_reg/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_rdata_i\[3\]_i_1/I0 (377.5:446.5:446.5) (377.5:446.5:446.5))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/FIFO_Full_reg/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_rresp_i\[1\]_i_1/I1 (335.7:395.7:395.7) (335.7:395.7:395.7))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/FIFO_Full_reg/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/INFERRED_GEN\.cnt_i\[4\]_i_5/I2 (466.4:548.4:548.4) (466.4:548.4:548.4))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/FIFO_Full_reg/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[0\]_i_1__0/I2 (414.7:489.7:489.7) (414.7:489.7:489.7))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/TX_i_1/O U0/UARTLITE_CORE_I/UARTLITE_TX_I/TX_reg/D (38.0:49.0:49.0) (38.0:49.0:49.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/TX_reg/Q /I (1571.8:1790.8:1790.8) (1571.8:1790.8:1790.8))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/fifo_Read_i_1/O U0/UARTLITE_CORE_I/UARTLITE_TX_I/fifo_Read_reg/D (38.0:48.0:48.0) (38.0:48.0:48.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/fifo_Read_reg/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/tx_DataBits_i_1/I2 (237.5:283.5:283.5) (237.5:283.5:283.5))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/fifo_Read_reg/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[0\]_i_1__0/I1 (395.2:466.2:466.2) (395.2:466.2:466.2))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/fifo_Read_reg/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[2\]_i_1__0/I1 (185.1:217.1:217.1) (185.1:217.1:217.1))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/fifo_Read_reg/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[3\]_i_2__0/I1 (334.2:393.2:393.2) (334.2:393.2:393.2))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/fifo_Read_reg/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[4\]_i_2__0/I1 (307.1:360.1:360.1) (307.1:360.1:360.1))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/fifo_Read_reg/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[4\]_i_3__0/I1 (314.1:370.1:370.1) (314.1:370.1:370.1))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/fifo_Read_reg/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[1\]_i_1__0/I2 (334.2:393.2:393.2) (334.2:393.2:393.2))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/fifo_Read_reg/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/FIFO_Full_i_1__0/I3 (395.1:464.1:464.1) (395.1:464.1:464.1))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/mux_sel\[0\]_i_1/O U0/UARTLITE_CORE_I/UARTLITE_TX_I/mux_sel_reg\[0\]/D (38.0:49.0:49.0) (38.0:49.0:49.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/mux_sel\[1\]_i_1/O U0/UARTLITE_CORE_I/UARTLITE_TX_I/mux_sel_reg\[1\]/D (25.0:31.0:31.0) (25.0:31.0:31.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/mux_sel\[2\]_i_1/O U0/UARTLITE_CORE_I/UARTLITE_TX_I/mux_sel_reg\[2\]/D (38.0:48.0:48.0) (38.0:48.0:48.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/mux_sel_reg\[0\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/fifo_Read_i_1/I0 (192.1:224.1:224.1) (192.1:224.1:224.1))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/mux_sel_reg\[0\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/mux_sel\[0\]_i_1/I2 (331.0:386.0:386.0) (331.0:386.0:386.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/mux_sel_reg\[0\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/mux_sel\[1\]_i_1/I2 (331.0:386.0:386.0) (331.0:386.0:386.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/mux_sel_reg\[0\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/mux_sel\[2\]_i_1/I2 (331.1:388.1:388.1) (331.1:388.1:388.1))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/mux_sel_reg\[0\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/serial_Data_i_3/I0 (455.8:534.8:534.8) (455.8:534.8:534.8))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/mux_sel_reg\[0\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/serial_Data_i_4/I0 (326.1:382.1:382.1) (326.1:382.1:382.1))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/mux_sel_reg\[0\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/serial_Data_i_2/I3 (420.7:491.7:491.7) (420.7:491.7:491.7))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/mux_sel_reg\[0\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/serial_Data_i_5/I4 (461.8:538.8:538.8) (461.8:538.8:538.8))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/mux_sel_reg\[1\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/mux_sel\[0\]_i_1/I0 (395.4:469.4:469.4) (395.4:469.4:469.4))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/mux_sel_reg\[1\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/mux_sel\[1\]_i_1/I0 (395.4:469.4:469.4) (395.4:469.4:469.4))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/mux_sel_reg\[1\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/fifo_Read_i_1/I2 (307.6:365.6:365.6) (307.6:365.6:365.6))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/mux_sel_reg\[1\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/mux_sel\[2\]_i_1/I3 (227.4:270.4:270.4) (227.4:270.4:270.4))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/mux_sel_reg\[1\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/serial_Data_i_2/I1 (409.3:484.3:484.3) (409.3:484.3:484.3))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/mux_sel_reg\[1\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/serial_Data_i_5/I2 (458.4:544.4:544.4) (458.4:544.4:544.4))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/mux_sel_reg\[1\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/serial_Data_i_3/I3 (481.3:570.3:570.3) (481.3:570.3:570.3))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/mux_sel_reg\[1\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/serial_Data_i_4/I3 (469.6:557.6:557.6) (469.6:557.6:557.6))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/mux_sel_reg\[2\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/fifo_Read_i_1/I1 (299.1:352.1:352.1) (299.1:352.1:352.1))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/mux_sel_reg\[2\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/mux_sel\[0\]_i_1/I1 (322.0:379.0:379.0) (322.0:379.0:379.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/mux_sel_reg\[2\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/mux_sel\[1\]_i_1/I1 (322.0:379.0:379.0) (322.0:379.0:379.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/mux_sel_reg\[2\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/mux_sel\[2\]_i_1/I4 (203.0:240.0:240.0) (203.0:240.0:240.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/mux_sel_reg\[2\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/serial_Data_i_2/I0 (319.6:373.6:373.6) (319.6:373.6:373.6))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/mux_sel_reg\[2\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/serial_Data_i_3/I1 (319.6:373.6:373.6) (319.6:373.6:373.6))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/mux_sel_reg\[2\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/serial_Data_i_4/I1 (176.1:207.1:207.1) (176.1:207.1:207.1))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/mux_sel_reg\[2\]/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/DYNSHREG_F_I/serial_Data_i_5/I3 (202.6:238.6:238.6) (202.6:238.6:238.6))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/serial_Data_reg/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/TX_i_1/I2 (377.0:446.0:446.0) (377.0:446.0:446.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/tx_DataBits_i_1/O U0/UARTLITE_CORE_I/UARTLITE_TX_I/tx_DataBits_reg/D (38.0:48.0:48.0) (38.0:48.0:48.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/tx_DataBits_reg/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/TX_i_1/I0 (292.6:348.6:348.6) (292.6:348.6:348.6))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/tx_DataBits_reg/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/mux_sel\[2\]_i_1/I0 (535.8:637.8:637.8) (535.8:637.8:637.8))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/tx_DataBits_reg/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/tx_DataBits_i_1/I3 (478.6:559.6:559.6) (478.6:559.6:559.6))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/tx_DataBits_reg/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/mux_sel\[0\]_i_1/I4 (365.8:435.8:435.8) (365.8:435.8:435.8))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/tx_DataBits_reg/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/mux_sel\[1\]_i_1/I4 (365.8:435.8:435.8) (365.8:435.8:435.8))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/tx_DataBits_reg/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/tx_Start_i_1/I2 (455.6:541.6:541.6) (455.6:541.6:541.6))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/tx_Data_Enable_reg/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/mux_sel\[2\]_i_1/I1 (332.4:390.4:390.4) (332.4:390.4:390.4))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/tx_Data_Enable_reg/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/tx_DataBits_i_1/I1 (257.5:305.5:305.5) (257.5:305.5:305.5))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/tx_Data_Enable_reg/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/fifo_Read_i_1/I3 (407.4:479.4:479.4) (407.4:479.4:479.4))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/tx_Data_Enable_reg/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/mux_sel\[0\]_i_1/I3 (259.3:303.3:303.3) (259.3:303.3:303.3))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/tx_Data_Enable_reg/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/mux_sel\[1\]_i_1/I3 (259.3:303.3:303.3) (259.3:303.3:303.3))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/tx_Data_Enable_reg/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/tx_Start_i_1/I0 (236.4:275.4:275.4) (236.4:275.4:275.4))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/tx_Data_Enable_reg/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/MID_START_BIT_SRL16_I/tx_Data_Enable_i_1/I1 (258.3:302.3:302.3) (258.3:302.3:302.3))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/tx_Start_reg/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/tx_DataBits_i_1/I0 (399.7:463.7:463.7) (399.7:463.7:463.7))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/tx_Start_reg/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/TX_i_1/I1 (251.7:295.7:295.7) (251.7:295.7:295.7))
      (INTERCONNECT U0/UARTLITE_CORE_I/UARTLITE_TX_I/tx_Start_reg/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/tx_Start_i_1/I3 (250.7:294.7:294.7) (250.7:294.7:294.7))
      (INTERCONNECT U0/UARTLITE_CORE_I/clr_Status_reg/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/status_reg\[1\]_i_1/I4 (370.8:439.8:439.8) (370.8:439.8:439.8))
      (INTERCONNECT U0/UARTLITE_CORE_I/clr_Status_reg/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/status_reg\[2\]_i_1/I3 (164.8:198.8:198.8) (164.8:198.8:198.8))
      (INTERCONNECT U0/UARTLITE_CORE_I/enable_interrupts_reg/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_rdata_i\[4\]_i_1/I0 (365.8:431.8:431.8) (365.8:431.8:431.8))
      (INTERCONNECT U0/UARTLITE_CORE_I/enable_interrupts_reg/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/enable_interrupts_i_1/I3 (314.0:368.0:368.0) (314.0:368.0:368.0))
      (INTERCONNECT U0/UARTLITE_CORE_I/enable_interrupts_reg/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/Interrupt_i_2/I2 (334.1:395.1:395.1) (334.1:395.1:395.1))
      (INTERCONNECT U0/UARTLITE_CORE_I/reset_RX_FIFO_reg/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[4\]_i_1/I0 (225.5:267.5:267.5) (225.5:267.5:267.5))
      (INTERCONNECT U0/UARTLITE_CORE_I/reset_TX_FIFO_reg/Q U0/UARTLITE_CORE_I/UARTLITE_TX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/INFERRED_GEN\.cnt_i\[4\]_i_1__0/I0 (188.6:228.6:228.6) (188.6:228.6:228.6))
      (INTERCONNECT U0/UARTLITE_CORE_I/rx_Data_Present_Pre_reg/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/Interrupt_i_2/I0 (300.5:356.5:356.5) (300.5:356.5:356.5))
      (INTERCONNECT U0/UARTLITE_CORE_I/status_reg_reg\[1\]/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_rdata_i\[6\]_i_1/I0 (304.2:361.2:361.2) (304.2:361.2:361.2))
      (INTERCONNECT U0/UARTLITE_CORE_I/status_reg_reg\[1\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/DELAY_16_I/status_reg\[1\]_i_1/I2 (232.1:274.1:274.1) (232.1:274.1:274.1))
      (INTERCONNECT U0/UARTLITE_CORE_I/status_reg_reg\[2\]/Q U0/AXI_LITE_IPIF_I/I_SLAVE_ATTACHMENT/I_DECODER/s_axi_rdata_i\[5\]_i_1/I0 (251.7:296.7:296.7) (251.7:296.7:296.7))
      (INTERCONNECT U0/UARTLITE_CORE_I/status_reg_reg\[2\]/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/status_reg\[2\]_i_1/I0 (247.9:289.9:289.9) (247.9:289.9:289.9))
      (INTERCONNECT U0/UARTLITE_CORE_I/tx_Buffer_Empty_Pre_reg/Q U0/UARTLITE_CORE_I/UARTLITE_RX_I/SRL_FIFO_I/I_SRL_FIFO_RBU_F/CNTR_INCR_DECR_ADDN_F_I/Interrupt_i_2/I4 (161.3:194.3:194.3) (161.3:194.3:194.3))
      )
    )
)
)

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.