OpenCores
URL https://opencores.org/ocsvn/aes-128_pipelined_encryption/aes-128_pipelined_encryption/trunk

Subversion Repositories aes-128_pipelined_encryption

[/] [aes-128_pipelined_encryption/] [trunk/] [sim/] [topcipher_data_test_inputs.txt] - Rev 2

Compare with Previous | Blame | View Log

f34481ec3cc627bacd5dc3fb08f273e6
9798c4640bad75c7c3227db910174e72
96ab5c2ff612d9dfaae8c31f30c42168
6a118a874519e64e9963798a503f1d35
cb9fceec81286ca3e989bd979b0cb284
b26aeb1874e47ca8358ff22378f09144
58c8e00b2631686d54eab84b91f0aca1
00000000000000000000000000000000
00000000000000000000000000000000 
00000000000000000000000000000000
00000000000000000000000000000000 
00000000000000000000000000000000 
00000000000000000000000000000000 
00000000000000000000000000000000 
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
80000000000000000000000000000000
c0000000000000000000000000000000
e0000000000000000000000000000000
f0000000000000000000000000000000
f8000000000000000000000000000000
fc000000000000000000000000000000
fe000000000000000000000000000000
ff000000000000000000000000000000
ff800000000000000000000000000000
ffc00000000000000000000000000000
ffe00000000000000000000000000000
fff00000000000000000000000000000
fff80000000000000000000000000000
fffc0000000000000000000000000000
fffe0000000000000000000000000000
ffff0000000000000000000000000000
ffff8000000000000000000000000000
ffffc000000000000000000000000000
ffffe000000000000000000000000000
fffff000000000000000000000000000
fffff800000000000000000000000000
fffffc00000000000000000000000000
fffffe00000000000000000000000000
ffffff00000000000000000000000000
ffffff80000000000000000000000000
ffffffc0000000000000000000000000
ffffffe0000000000000000000000000
fffffff0000000000000000000000000
fffffff8000000000000000000000000
fffffffc000000000000000000000000
fffffffe000000000000000000000000
ffffffff000000000000000000000000
ffffffff800000000000000000000000
ffffffffc00000000000000000000000
ffffffffe00000000000000000000000
fffffffff00000000000000000000000
fffffffff80000000000000000000000
fffffffffc0000000000000000000000
fffffffffe0000000000000000000000
ffffffffff0000000000000000000000
ffffffffff8000000000000000000000
ffffffffffc000000000000000000000
ffffffffffe000000000000000000000
fffffffffff000000000000000000000
fffffffffff800000000000000000000
fffffffffffc00000000000000000000
fffffffffffe00000000000000000000
ffffffffffff00000000000000000000
ffffffffffff80000000000000000000
ffffffffffffc0000000000000000000
ffffffffffffe0000000000000000000
fffffffffffff0000000000000000000
fffffffffffff8000000000000000000
fffffffffffffc000000000000000000
fffffffffffffe000000000000000000
ffffffffffffff000000000000000000
ffffffffffffff800000000000000000
ffffffffffffffc00000000000000000
ffffffffffffffe00000000000000000
fffffffffffffff00000000000000000
fffffffffffffff80000000000000000
fffffffffffffffc0000000000000000
fffffffffffffffe0000000000000000
ffffffffffffffff0000000000000000
ffffffffffffffff8000000000000000
ffffffffffffffffc000000000000000
ffffffffffffffffe000000000000000
fffffffffffffffff000000000000000
fffffffffffffffff800000000000000
fffffffffffffffffc00000000000000
fffffffffffffffffe00000000000000
ffffffffffffffffff00000000000000
ffffffffffffffffff80000000000000
ffffffffffffffffffc0000000000000
ffffffffffffffffffe0000000000000
fffffffffffffffffff0000000000000
fffffffffffffffffff8000000000000
fffffffffffffffffffc000000000000
fffffffffffffffffffe000000000000
ffffffffffffffffffff000000000000
ffffffffffffffffffff800000000000
ffffffffffffffffffffc00000000000
ffffffffffffffffffffe00000000000
fffffffffffffffffffff00000000000
fffffffffffffffffffff80000000000
fffffffffffffffffffffc0000000000
fffffffffffffffffffffe0000000000
ffffffffffffffffffffff0000000000
ffffffffffffffffffffff8000000000
ffffffffffffffffffffffc000000000
ffffffffffffffffffffffe000000000
fffffffffffffffffffffff000000000
fffffffffffffffffffffff800000000
fffffffffffffffffffffffc00000000
fffffffffffffffffffffffe00000000
ffffffffffffffffffffffff00000000
ffffffffffffffffffffffff80000000
ffffffffffffffffffffffffc0000000
ffffffffffffffffffffffffe0000000
fffffffffffffffffffffffff0000000
fffffffffffffffffffffffff8000000
fffffffffffffffffffffffffc000000
fffffffffffffffffffffffffe000000
ffffffffffffffffffffffffff000000
ffffffffffffffffffffffffff800000
ffffffffffffffffffffffffffc00000
ffffffffffffffffffffffffffe00000
fffffffffffffffffffffffffff00000
fffffffffffffffffffffffffff80000
fffffffffffffffffffffffffffc0000
fffffffffffffffffffffffffffe0000
ffffffffffffffffffffffffffff0000
ffffffffffffffffffffffffffff8000
ffffffffffffffffffffffffffffc000
ffffffffffffffffffffffffffffe000
fffffffffffffffffffffffffffff000
fffffffffffffffffffffffffffff800
fffffffffffffffffffffffffffffc00
fffffffffffffffffffffffffffffe00
ffffffffffffffffffffffffffffff00
ffffffffffffffffffffffffffffff80
ffffffffffffffffffffffffffffffc0
ffffffffffffffffffffffffffffffe0
fffffffffffffffffffffffffffffff0
fffffffffffffffffffffffffffffff8
fffffffffffffffffffffffffffffffc
fffffffffffffffffffffffffffffffe
ffffffffffffffffffffffffffffffff
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000
00000000000000000000000000000000

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.