OpenCores
URL https://opencores.org/ocsvn/ahbmaster/ahbmaster/trunk

Subversion Repositories ahbmaster

[/] [ahbmaster/] [trunk/] [test79_AHBmaster/] [simulation/] [COREUARTAPB_LIB/] [_info] - Rev 3

Compare with Previous | Blame | View Log

m255
K4
z2
13
!s112 1.1
!i10d 8192
!i10e 25
!i10f 100
cModel Technology
Z0 dC:/Actelprj/test79_AHBmaster/simulation
Ptop_coreuartapb_0_components
DPx3 std 6 textio 0 22 zE1`LPoLg^DX3Oz^4Fj1K3
DPx4 ieee 14 std_logic_1164 0 22 eNV`TJ_GofJTzYa?f<@Oe1
Z1 w1527947577
R0
8C:/Actelprj/test79_AHBmaster/component/work/top/CoreUARTapb_0/rtl/vhdl/core/components.vhd
FC:/Actelprj/test79_AHBmaster/component/work/top/CoreUARTapb_0/rtl/vhdl/core/components.vhd
l0
L5
V<@a^@Ugm8`amdgi2SE5Y:2
!s100 dCT;<0MC>j_g>meROWd?^0
Z2 OW;C;10.5c;63
33
Z3 !s110 1527947653
!i10b 1
Z4 !s108 1527947653.000000
!s90 -reportprogress|300|-2008|-explicit|-work|COREUARTAPB_LIB|C:/Actelprj/test79_AHBmaster/component/work/top/CoreUARTapb_0/rtl/vhdl/core/components.vhd|
!s107 C:/Actelprj/test79_AHBmaster/component/work/top/CoreUARTapb_0/rtl/vhdl/core/components.vhd|
!i113 1
Z5 o-2008 -explicit -work COREUARTAPB_LIB -O0
Z6 tCvgOpt 0
Ptop_coreuartapb_0_coreuart_pkg
R1
R0
Z7 8C:/Actelprj/test79_AHBmaster/component/work/top/CoreUARTapb_0/rtl/vhdl/core/coreuart_pkg.vhd
Z8 FC:/Actelprj/test79_AHBmaster/component/work/top/CoreUARTapb_0/rtl/vhdl/core/coreuart_pkg.vhd
l0
L1
VTQkOGiPBWW^bT9Qa5`CUm3
!s100 iAh4Xc_>L9^]C9<ZVKK@E3
R2
33
b1
R3
!i10b 1
R4
Z9 !s90 -reportprogress|300|-2008|-explicit|-work|COREUARTAPB_LIB|C:/Actelprj/test79_AHBmaster/component/work/top/CoreUARTapb_0/rtl/vhdl/core/coreuart_pkg.vhd|
Z10 !s107 C:/Actelprj/test79_AHBmaster/component/work/top/CoreUARTapb_0/rtl/vhdl/core/coreuart_pkg.vhd|
!i113 1
R5
R6
Bbody
DPx4 work 30 top_coreuartapb_0_coreuart_pkg 0 22 TQkOGiPBWW^bT9Qa5`CUm3
l0
L5
VfT8nJl7RX95BmiNYQIUkK1
!s100 Zh4h8M0R5[0=ffY?]VR6g1
R2
33
R3
!i10b 1
R4
R9
R10
!i113 1
R5
R6

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.