OpenCores
URL https://opencores.org/ocsvn/ao486/ao486/trunk

Subversion Repositories ao486

[/] [ao486/] [trunk/] [syn/] [components/] [ps2/] [soc.cmp] - Rev 2

Compare with Previous | Blame | View Log

        component soc is
                port (
                        clk_clk                      : in    std_logic                    := 'X';             -- clk
                        reset_reset_n                : in    std_logic                    := 'X';             -- reset_n
                        ps2_export_interrupt_keyb    : out   std_logic;                                       -- interrupt_keyb
                        ps2_export_interrupt_mouse   : out   std_logic;                                       -- interrupt_mouse
                        ps2_export_output_a20_enable : out   std_logic;                                       -- output_a20_enable
                        ps2_export_output_reset_n    : out   std_logic;                                       -- output_reset_n
                        ps2_export_ps2_kbclk         : inout std_logic                    := 'X';             -- ps2_kbclk
                        ps2_export_ps2_kbdat         : inout std_logic                    := 'X';             -- ps2_kbdat
                        ps2_export_ps2_mouseclk      : inout std_logic                    := 'X';             -- ps2_mouseclk
                        ps2_export_ps2_mousedat      : inout std_logic                    := 'X';             -- ps2_mousedat
                        pio_0_external_export        : in    std_logic_vector(7 downto 0) := (others => 'X')  -- export
                );
        end component soc;

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.