OpenCores
URL https://opencores.org/ocsvn/ao486/ao486/trunk

Subversion Repositories ao486

[/] [ao486/] [trunk/] [syn/] [components/] [sd_card/] [sd_card_soc.v] - Rev 2

Compare with Previous | Blame | View Log

 
module sd_card_soc(
    input                       CLOCK_50,
 
    output                      SD_CLK,
    inout                       SD_CMD,
    inout            [3:0]      SD_DAT,
    input                       SD_WP_N
);
 
wire clk_40;
wire reset_n;
 
pll pll_inst(
    .inclk0         (CLOCK_50),
    .c0             (clk_40),
    .locked         (reset_n)
);
 
soc u0 (
    .clk_clk       (clk_40),
    .reset_reset_n (reset_n),
    .sd_card_clk   (SD_CLK),
    .sd_card_dat   (SD_DAT),
    .sd_card_cmd   (SD_CMD)
);
 
endmodule
 

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.