OpenCores
URL https://opencores.org/ocsvn/ao486/ao486/trunk

Subversion Repositories ao486

[/] [ao486/] [trunk/] [syn/] [components/] [sd_card/] [sim/] [Makefile] - Rev 8

Compare with Previous | Blame | View Log

all:
        verilator --trace -Wall -CFLAGS "-O3" -LDFLAGS "-O3" --cc ./../../../../rtl/soc/driver_sd/driver_sd.v --exe main.cpp -I./../../../../rtl/soc/driver_sd/
        cd obj_dir && make -f Vdriver_sd.mk

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.