OpenCores
URL https://opencores.org/ocsvn/ao486/ao486/trunk

Subversion Repositories ao486

[/] [ao486/] [trunk/] [syn/] [components/] [sd_card/] [soc.cmp] - Rev 2

Compare with Previous | Blame | View Log

        component soc is
                port (
                        clk_clk       : in    std_logic                    := 'X';             -- clk
                        reset_reset_n : in    std_logic                    := 'X';             -- reset_n
                        sd_card_clk   : out   std_logic;                                       -- clk
                        sd_card_dat   : inout std_logic_vector(3 downto 0) := (others => 'X'); -- dat
                        sd_card_cmd   : inout std_logic                    := 'X'              -- cmd
                );
        end component soc;

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.