OpenCores
URL https://opencores.org/ocsvn/aoocs/aoocs/trunk

Subversion Repositories aoocs

[/] [aoocs/] [trunk/] [doc/] [doxygen/] [html/] [ocs__video_8v_source.html] - Rev 2

Compare with Previous | Blame | View Log

<!DOCTYPE html PUBLIC "-//W3C//DTD XHTML 1.0 Transitional//EN" "http://www.w3.org/TR/xhtml1/DTD/xhtml1-transitional.dtd">
<html xmlns="http://www.w3.org/1999/xhtml">
<head>
<meta http-equiv="Content-Type" content="text/xhtml;charset=UTF-8"/>
<title>aoOCS: ocs_video.v Source File</title>
<link href="tabs.css" rel="stylesheet" type="text/css"/>
<link href="doxygen.css" rel="stylesheet" type="text/css"/>
</head>
<body>
<!-- Generated by Doxygen 1.7.2 -->
<div class="navigation" id="top">
  <div class="tabs">
    <ul class="tablist">
      <li><a href="index.html"><span>Main&#160;Page</span></a></li>
      <li><a href="annotated.html"><span>Design&#160;Unit&#160;List</span></a></li>
      <li class="current"><a href="files.html"><span>Files</span></a></li>
    </ul>
  </div>
  <div class="tabs2">
    <ul class="tablist">
      <li><a href="files.html"><span>File&#160;List</span></a></li>
      <li><a href="globals.html"><span>File&#160;Members</span></a></li>
    </ul>
  </div>
<div class="header">
  <div class="headertitle">
<h1>ocs_video.v</h1>  </div>
</div>
<div class="contents">
<a href="ocs__video_8v.html">Go to the documentation of this file.</a><div class="fragment"><pre class="fragment"><a name="l00001"></a>00001  <span class="keyword">/*</span>
<a name="l00002"></a>00002 <span class="keyword">  Copyright 2010, Aleksander Osman, alfik@poczta.fm. All rights reserved.</span>
<a name="l00003"></a>00003 <span class="keyword"> </span>
<a name="l00004"></a>00004 <span class="keyword">  Redistribution and use in source and binary forms, with or without modification, are</span>
<a name="l00005"></a>00005 <span class="keyword">  permitted provided that the following conditions are met:</span>
<a name="l00006"></a>00006 <span class="keyword"> </span>
<a name="l00007"></a>00007 <span class="keyword">   1. Redistributions of source code must retain the above copyright notice, this list of</span>
<a name="l00008"></a>00008 <span class="keyword">      conditions and the following disclaimer.</span>
<a name="l00009"></a>00009 <span class="keyword"> </span>
<a name="l00010"></a>00010 <span class="keyword">   2. Redistributions in binary form must reproduce the above copyright notice, this list</span>
<a name="l00011"></a>00011 <span class="keyword">      of conditions and the following disclaimer in the documentation and/or other materials</span>
<a name="l00012"></a>00012 <span class="keyword">      provided with the distribution.</span>
<a name="l00013"></a>00013 <span class="keyword"> </span>
<a name="l00014"></a>00014 <span class="keyword">  THIS SOFTWARE IS PROVIDED BY THE AUTHOR ``AS IS&#39;&#39; AND ANY EXPRESS OR IMPLIED</span>
<a name="l00015"></a>00015 <span class="keyword">  WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND</span>
<a name="l00016"></a>00016 <span class="keyword">  FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR</span>
<a name="l00017"></a>00017 <span class="keyword">  CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR</span>
<a name="l00018"></a>00018 <span class="keyword">  CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR</span>
<a name="l00019"></a>00019 <span class="keyword">  SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON</span>
<a name="l00020"></a>00020 <span class="keyword">  ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING</span>
<a name="l00021"></a>00021 <span class="keyword">  NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF</span>
<a name="l00022"></a>00022 <span class="keyword">  ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.</span>
<a name="l00023"></a>00023 <span class="keyword"> */</span>
<a name="l00024"></a>00024 
<a name="l00025"></a>00025 <span class="keyword">/*! \file</span>
<a name="l00026"></a>00026 <span class="keyword">  \brief OCS video implementation with WISHBONE master and slave interface.</span>
<a name="l00027"></a>00027 <span class="keyword"> */</span>
<a name="l00028"></a>00028 
<a name="l00029"></a>00029 <span class="keyword">/*! \brief \copybrief ocs_video.v</span>
<a name="l00030"></a>00030 <span class="keyword"></span>
<a name="l00031"></a>00031 <span class="keyword">List of video registers:</span>
<a name="l00032"></a>00032 <span class="keyword">\verbatim</span>
<a name="l00033"></a>00033 <span class="keyword">Implemented:</span>
<a name="l00034"></a>00034 <span class="keyword">    DIWSTRT      08E  W   A       Display window start (upper left vert-horiz position)</span>
<a name="l00035"></a>00035 <span class="keyword">    DIWSTOP      090  W   A       Display window stop (lower right vert.-horiz. position)</span>
<a name="l00036"></a>00036 <span class="keyword">    DDFSTRT      092  W   A       Display bitplane data fetch start (horiz. position)</span>
<a name="l00037"></a>00037 <span class="keyword">    DDFSTOP      094  W   A       Display bitplane data fetch stop              write implemented here</span>
<a name="l00038"></a>00038 <span class="keyword">     [DMACON     096  W   ADP     DMA control write (clear or set)              write implemented here]</span>
<a name="l00039"></a>00039 <span class="keyword">    </span>
<a name="l00040"></a>00040 <span class="keyword">     [JOY1DAT   *00C  R   D       Joystick-mouse 1 data (vert,horiz)            read not implemented here]</span>
<a name="l00041"></a>00041 <span class="keyword">    CLXDAT      *00E  R   D       Collision data register (read and clear)      read not implemented here</span>
<a name="l00042"></a>00042 <span class="keyword">    CLXCON       098  W   D       Collision control                             write implemented here</span>
<a name="l00043"></a>00043 <span class="keyword">     [INTENA     09A  W   P       Interrupt enable bits (clear or set bits)     write implemented here]</span>
<a name="l00044"></a>00044 <span class="keyword">    </span>
<a name="l00045"></a>00045 <span class="keyword">    BPLCON0      100  W   AD( E ) Bitplane control register (misc. control bits)</span>
<a name="l00046"></a>00046 <span class="keyword">    BPLCON1      102  W   D       Bitplane control reg. (scroll value PF1, PF2)</span>
<a name="l00047"></a>00047 <span class="keyword">    BPLCON2      104  W   D( E )  Bitplane control reg. (priority control)</span>
<a name="l00048"></a>00048 <span class="keyword">    </span>
<a name="l00049"></a>00049 <span class="keyword">    BPL1MOD      108  W   A       Bitplane modulo (odd planes)</span>
<a name="l00050"></a>00050 <span class="keyword">    BPL2MOD      10A  W   A       Bitplane modulo (even planes)</span>
<a name="l00051"></a>00051 <span class="keyword">    </span>
<a name="l00052"></a>00052 <span class="keyword">    BPL1PTH   +  0E0  W   A       Bitplane 1 pointer (high 3 bits)</span>
<a name="l00053"></a>00053 <span class="keyword">    BPL1PTL   +  0E2  W   A       Bitplane 1 pointer (low 15 bits)</span>
<a name="l00054"></a>00054 <span class="keyword">    BPL2PTH   +  0E4  W   A       Bitplane 2 pointer (high 3 bits)</span>
<a name="l00055"></a>00055 <span class="keyword">    BPL2PTL   +  0E6  W   A       Bitplane 2 pointer (low 15 bits)</span>
<a name="l00056"></a>00056 <span class="keyword">    BPL3PTH   +  0E8  W   A       Bitplane 3 pointer (high 3 bits)</span>
<a name="l00057"></a>00057 <span class="keyword">    BPL3PTL   +  0EA  W   A       Bitplane 3 pointer (low 15 bits)</span>
<a name="l00058"></a>00058 <span class="keyword">    BPL4PTH   +  0EC  W   A       Bitplane 4 pointer (high 3 bits)</span>
<a name="l00059"></a>00059 <span class="keyword">    BPL4PTL   +  0EE  W   A       Bitplane 4 pointer (low 15 bits)</span>
<a name="l00060"></a>00060 <span class="keyword">    BPL5PTH   +  0F0  W   A       Bitplane 5 pointer (high 3 bits)</span>
<a name="l00061"></a>00061 <span class="keyword">    BPL5PTL   +  0F2  W   A       Bitplane 5 pointer (low 15 bits)</span>
<a name="l00062"></a>00062 <span class="keyword">    BPL6PTH   +  0F4  W   A       Bitplane 6 pointer (high 3 bits)</span>
<a name="l00063"></a>00063 <span class="keyword">    BPL6PTL   +  0F6  W   A       Bitplane 6 pointer (low 15 bits)</span>
<a name="l00064"></a>00064 <span class="keyword">    </span>
<a name="l00065"></a>00065 <span class="keyword">    BPL1DAT   &amp;  110  W   D       Bitplane 1 data (parallel-to-serial convert)</span>
<a name="l00066"></a>00066 <span class="keyword">    BPL2DAT   &amp;  112  W   D       Bitplane 2 data (parallel-to-serial convert)</span>
<a name="l00067"></a>00067 <span class="keyword">    BPL3DAT   &amp;  114  W   D       Bitplane 3 data (parallel-to-serial convert)</span>
<a name="l00068"></a>00068 <span class="keyword">    BPL4DAT   &amp;  116  W   D       Bitplane 4 data (parallel-to-serial convert)</span>
<a name="l00069"></a>00069 <span class="keyword">    BPL5DAT   &amp;  118  W   D       Bitplane 5 data (parallel-to-serial convert)</span>
<a name="l00070"></a>00070 <span class="keyword">    BPL6DAT   &amp;  11A  W   D       Bitplane 6 data (parallel-to-serial convert)</span>
<a name="l00071"></a>00071 <span class="keyword">    </span>
<a name="l00072"></a>00072 <span class="keyword">    SPR0PTH   +  120  W   A       Sprite 0 pointer (high 3 bits)</span>
<a name="l00073"></a>00073 <span class="keyword">    SPR0PTL   +  122  W   A       Sprite 0 pointer (low 15 bits)</span>
<a name="l00074"></a>00074 <span class="keyword">    SPR0POS   %  140  W   AD      Sprite 0 vert-horiz start position data</span>
<a name="l00075"></a>00075 <span class="keyword">    SPR0CTL   %  142  W   AD( E ) Sprite 0 vert stop position and control data</span>
<a name="l00076"></a>00076 <span class="keyword">    SPR0DATA  %  144  W   D       Sprite 0 image data register A</span>
<a name="l00077"></a>00077 <span class="keyword">    SPR0DATB  %  146  W   D       Sprite 0 image data register B</span>
<a name="l00078"></a>00078 <span class="keyword">    SPR1PTH   +  124  W   A       Sprite 1 pointer (high 3 bits)</span>
<a name="l00079"></a>00079 <span class="keyword">    SPR1PTL   +  126  W   A       Sprite 1 pointer (low 15 bits)</span>
<a name="l00080"></a>00080 <span class="keyword">    SPR1POS   %  148  W   AD      Sprite 1 vert-horiz start position  data</span>
<a name="l00081"></a>00081 <span class="keyword">    SPR1CTL   %  14A  W   AD      Sprite 1 vert stop position and control data</span>
<a name="l00082"></a>00082 <span class="keyword">    SPR1DATA  %  14C  W   D       Sprite 1 image data register A</span>
<a name="l00083"></a>00083 <span class="keyword">    SPR1DATB  %  14E  W   D       Sprite 1 image data register B</span>
<a name="l00084"></a>00084 <span class="keyword">    SPR2PTH   +  128  W   A       Sprite 2 pointer (high 3 bits)</span>
<a name="l00085"></a>00085 <span class="keyword">    SPR2PTL   +  12A  W   A       Sprite 2 pointer (low 15 bits)</span>
<a name="l00086"></a>00086 <span class="keyword">    SPR2POS   %  150  W   AD      Sprite 2 vert-horiz start position data</span>
<a name="l00087"></a>00087 <span class="keyword">    SPR2CTL   %  152  W   AD      Sprite 2 vert stop position and control data</span>
<a name="l00088"></a>00088 <span class="keyword">    SPR2DATA  %  154  W   D       Sprite 2 image data register A</span>
<a name="l00089"></a>00089 <span class="keyword">    SPR2DATB  %  156  W   D       Sprite 2 image data register B</span>
<a name="l00090"></a>00090 <span class="keyword">    SPR3PTH   +  12C  W   A       Sprite 3 pointer (high 3 bits)</span>
<a name="l00091"></a>00091 <span class="keyword">    SPR3PTL   +  12E  W   A       Sprite 3 pointer (low 15 bits)</span>
<a name="l00092"></a>00092 <span class="keyword">    SPR3POS   %  158  W   AD      Sprite 3 vert-horiz start position data</span>
<a name="l00093"></a>00093 <span class="keyword">    SPR3CTL   %  15A  W   AD      Sprite 3 vert stop position and control data</span>
<a name="l00094"></a>00094 <span class="keyword">    SPR3DATA  %  15C  W   D       Sprite 3 image data register A</span>
<a name="l00095"></a>00095 <span class="keyword">    SPR3DATB  %  15E  W   D       Sprite 3 image data register B</span>
<a name="l00096"></a>00096 <span class="keyword">    SPR4PTH   +  130  W   A       Sprite 4 pointer (high 3 bits)</span>
<a name="l00097"></a>00097 <span class="keyword">    SPR4PTL   +  132  W   A       Sprite 4 pointer (low 15 bits)</span>
<a name="l00098"></a>00098 <span class="keyword">    SPR4POS   %  160  W   AD      Sprite 4 vert-horiz start position data</span>
<a name="l00099"></a>00099 <span class="keyword">    SPR4CTL   %  162  W   AD      Sprite 4 vert stop position and control data</span>
<a name="l00100"></a>00100 <span class="keyword">    SPR4DATA  %  164  W   D       Sprite 4 image data register A</span>
<a name="l00101"></a>00101 <span class="keyword">    SPR4DATB  %  166  W   D       Sprite 4 image data register B</span>
<a name="l00102"></a>00102 <span class="keyword">    SPR5PTH   +  134  W   A       Sprite 5 pointer (high 3 bits)</span>
<a name="l00103"></a>00103 <span class="keyword">    SPR5PTL   +  136  W   A       Sprite 5 pointer (low 15 bits)</span>
<a name="l00104"></a>00104 <span class="keyword">    SPR5POS   %  168  W   AD      Sprite 5 vert-horiz start position data</span>
<a name="l00105"></a>00105 <span class="keyword">    SPR5CTL   %  16A  W   AD      Sprite 5 vert stop position and control data</span>
<a name="l00106"></a>00106 <span class="keyword">    SPR5DATA  %  16C  W   D       Sprite 5 image data register A</span>
<a name="l00107"></a>00107 <span class="keyword">    SPR5DATB  %  16E  W   D       Sprite 5 image data register B</span>
<a name="l00108"></a>00108 <span class="keyword">    SPR6PTH   +  138  W   A       Sprite 6 pointer (high 3 bits)</span>
<a name="l00109"></a>00109 <span class="keyword">    SPR6PTL   +  13A  W   A       Sprite 6 pointer (low 15 bits)</span>
<a name="l00110"></a>00110 <span class="keyword">    SPR6POS   %  170  W   AD      Sprite 6 vert-horiz start position data</span>
<a name="l00111"></a>00111 <span class="keyword">    SPR6CTL   %  172  W   AD      Sprite 6 vert stop position and control data</span>
<a name="l00112"></a>00112 <span class="keyword">    SPR6DATA  %  174  W   D       Sprite 6 image data register A</span>
<a name="l00113"></a>00113 <span class="keyword">    SPR6DATB  %  176  W   D       Sprite 6 image data register B</span>
<a name="l00114"></a>00114 <span class="keyword">    SPR7PTH   +  13C  W   A       Sprite 7 pointer (high 3 bits)</span>
<a name="l00115"></a>00115 <span class="keyword">    SPR7PTL   +  13E  W   A       Sprite 7 pointer (low 15 bits)</span>
<a name="l00116"></a>00116 <span class="keyword">    SPR7POS   %  178  W   AD      Sprite 7 vert-horiz start position data</span>
<a name="l00117"></a>00117 <span class="keyword">    SPR7CTL   %  17A  W   AD      Sprite 7 vert stop position and control data</span>
<a name="l00118"></a>00118 <span class="keyword">    SPR7DATA  %  17C  W   D       Sprite 7 image data register A</span>
<a name="l00119"></a>00119 <span class="keyword">    SPR7DATB  %  17E  W   D       Sprite 7 image data register B</span>
<a name="l00120"></a>00120 <span class="keyword">    </span>
<a name="l00121"></a>00121 <span class="keyword">    COLOR00      180  W   D       Color table 00</span>
<a name="l00122"></a>00122 <span class="keyword">    COLOR01      182  W   D       Color table 01</span>
<a name="l00123"></a>00123 <span class="keyword">    COLOR02      184  W   D       Color table 02</span>
<a name="l00124"></a>00124 <span class="keyword">    COLOR03      186  W   D       Color table 03</span>
<a name="l00125"></a>00125 <span class="keyword">    COLOR04      188  W   D       Color table 04</span>
<a name="l00126"></a>00126 <span class="keyword">    COLOR05      18A  W   D       Color table 05</span>
<a name="l00127"></a>00127 <span class="keyword">    COLOR06      18C  W   D       Color table 06</span>
<a name="l00128"></a>00128 <span class="keyword">    COLOR07      18E  W   D       Color table 07</span>
<a name="l00129"></a>00129 <span class="keyword">    COLOR08      190  W   D       Color table 08</span>
<a name="l00130"></a>00130 <span class="keyword">    COLOR09      192  W   D       Color table 09</span>
<a name="l00131"></a>00131 <span class="keyword">    COLOR10      194  W   D       Color table 10</span>
<a name="l00132"></a>00132 <span class="keyword">    COLOR11      196  W   D       Color table 11</span>
<a name="l00133"></a>00133 <span class="keyword">    COLOR12      198  W   D       Color table 12</span>
<a name="l00134"></a>00134 <span class="keyword">    COLOR13      19A  W   D       Color table 13</span>
<a name="l00135"></a>00135 <span class="keyword">    COLOR14      19C  W   D       Color table 14</span>
<a name="l00136"></a>00136 <span class="keyword">    COLOR15      19E  W   D       Color table 15</span>
<a name="l00137"></a>00137 <span class="keyword">    COLOR16      1A0  W   D       Color table 16</span>
<a name="l00138"></a>00138 <span class="keyword">    COLOR17      1A2  W   D       Color table 17</span>
<a name="l00139"></a>00139 <span class="keyword">    COLOR18      1A4  W   D       Color table 18</span>
<a name="l00140"></a>00140 <span class="keyword">    COLOR19      1A6  W   D       Color table 19</span>
<a name="l00141"></a>00141 <span class="keyword">    COLOR20      1A8  W   D       Color table 20</span>
<a name="l00142"></a>00142 <span class="keyword">    COLOR21      1AA  W   D       Color table 21</span>
<a name="l00143"></a>00143 <span class="keyword">    COLOR22      1AC  W   D       Color table 22</span>
<a name="l00144"></a>00144 <span class="keyword">    COLOR23      1AE  W   D       Color table 23</span>
<a name="l00145"></a>00145 <span class="keyword">    COLOR24      1B0  W   D       Color table 24</span>
<a name="l00146"></a>00146 <span class="keyword">    COLOR25      1B2  W   D       Color table 25</span>
<a name="l00147"></a>00147 <span class="keyword">    COLOR26      1B4  W   D       Color table 26</span>
<a name="l00148"></a>00148 <span class="keyword">    COLOR27      1B6  W   D       Color table 27</span>
<a name="l00149"></a>00149 <span class="keyword">    COLOR28      1B8  W   D       Color table 28</span>
<a name="l00150"></a>00150 <span class="keyword">    COLOR29      1BA  W   D       Color table 29</span>
<a name="l00151"></a>00151 <span class="keyword">    COLOR30      1BC  W   D       Color table 30</span>
<a name="l00152"></a>00152 <span class="keyword">    COLOR31      1BE  W   D       Color table 31</span>
<a name="l00153"></a>00153 <span class="keyword">\endverbatim</span>
<a name="l00154"></a>00154 <span class="keyword">*/</span>
<a name="l00155"></a><a class="code" href="classocs__video.html">00155</a> <span class="vhdlkeyword">module</span> <a class="code" href="classocs__video.html">ocs_video</a>(
<a name="l00156"></a>00156     <span class="keyword">//% \name Clock and reset
</span>
<a name="l00157"></a>00157     <span class="keyword">//% @{</span>
<a name="l00158"></a><a class="code" href="classocs__video.html#a123db8dac22e5d320b50ce98f89e8a72">00158</a>     <span class="vhdlkeyword">input</span>               <a class="code" href="classocs__video.html#a123db8dac22e5d320b50ce98f89e8a72">CLK_I</a>,
<a name="l00159"></a><a class="code" href="classocs__video.html#af1352f81d94a9dca2fc64cc10b98a2d0">00159</a>     <span class="vhdlkeyword">input</span>               <a class="code" href="classocs__video.html#af1352f81d94a9dca2fc64cc10b98a2d0">reset_n</a>,
<a name="l00160"></a>00160     <span class="keyword">//% @}</span>
<a name="l00161"></a>00161     
<a name="l00162"></a>00162     <span class="keyword">//% \name WISHBONE master
</span>
<a name="l00163"></a>00163     <span class="keyword">//% @{</span>
<a name="l00164"></a><a class="code" href="classocs__video.html#a8eea0960308160378b1abd01fae14a0b">00164</a>     <span class="vhdlkeyword">output</span> <span class="vhdlkeyword">reg</span>          <a class="code" href="classocs__video.html#a8eea0960308160378b1abd01fae14a0b">CYC_O</a>,
<a name="l00165"></a><a class="code" href="classocs__video.html#a92379f2dd77871464b2c07cb6776ec8d">00165</a>     <span class="vhdlkeyword">output</span> <span class="vhdlkeyword">reg</span>          <a class="code" href="classocs__video.html#a92379f2dd77871464b2c07cb6776ec8d">STB_O</a>,
<a name="l00166"></a><a class="code" href="classocs__video.html#ae5ca4f24f744eefdef4e6a28ecc3fc46">00166</a>     <span class="vhdlkeyword">output</span>              <a class="code" href="classocs__video.html#ae5ca4f24f744eefdef4e6a28ecc3fc46">WE_O</a>,
<a name="l00167"></a><a class="code" href="classocs__video.html#ae16f01cfeeab6845410e12a20b763dc1">00167</a>     <span class="vhdlkeyword">output</span> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">31</span>:<span class="vhdllogic">2</span>]   <a class="code" href="classocs__video.html#ae16f01cfeeab6845410e12a20b763dc1">ADR_O</a>,
<a name="l00168"></a><a class="code" href="classocs__video.html#aa62fc7bb20b7666cda000e0e4ccb23c5">00168</a>     <span class="vhdlkeyword">output</span> [<span class="vhdllogic">3</span>:<span class="vhdllogic">0</span>]        <a class="code" href="classocs__video.html#aa62fc7bb20b7666cda000e0e4ccb23c5">SEL_O</a>,
<a name="l00169"></a><a class="code" href="classocs__video.html#a4729454a7d3dd9d2cca875b6713618f4">00169</a>     <span class="vhdlkeyword">input</span> [<span class="vhdllogic">31</span>:<span class="vhdllogic">0</span>]        <a class="code" href="classocs__video.html#a4729454a7d3dd9d2cca875b6713618f4">master_DAT_I</a>,
<a name="l00170"></a><a class="code" href="classocs__video.html#a8324b684d196a39e23bade6d00d841f4">00170</a>     <span class="vhdlkeyword">input</span>               <a class="code" href="classocs__video.html#a8324b684d196a39e23bade6d00d841f4">ACK_I</a>,
<a name="l00171"></a>00171     <span class="keyword">//% @}</span>
<a name="l00172"></a>00172     
<a name="l00173"></a>00173     <span class="keyword">//% \name WISHBONE slave
</span>
<a name="l00174"></a>00174     <span class="keyword">//% @{</span>
<a name="l00175"></a><a class="code" href="classocs__video.html#a4d65b462592ed5f9d20fadf214f6d1d3">00175</a>     <span class="vhdlkeyword">input</span>               <a class="code" href="classocs__video.html#a4d65b462592ed5f9d20fadf214f6d1d3">CYC_I</a>,
<a name="l00176"></a><a class="code" href="classocs__video.html#a00f4550e8894fb96480ef452e1f9fbc5">00176</a>     <span class="vhdlkeyword">input</span>               <a class="code" href="classocs__video.html#a00f4550e8894fb96480ef452e1f9fbc5">STB_I</a>,
<a name="l00177"></a><a class="code" href="classocs__video.html#aa06cc40e8e2b738e5aaf6244dbce78f2">00177</a>     <span class="vhdlkeyword">input</span>               <a class="code" href="classocs__video.html#aa06cc40e8e2b738e5aaf6244dbce78f2">WE_I</a>,
<a name="l00178"></a><a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">00178</a>     <span class="vhdlkeyword">input</span> [<span class="vhdllogic">8</span>:<span class="vhdllogic">2</span>]         <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>,
<a name="l00179"></a><a class="code" href="classocs__video.html#a86cb14f9723514d9b380eabf36f6ba59">00179</a>     <span class="vhdlkeyword">input</span> [<span class="vhdllogic">3</span>:<span class="vhdllogic">0</span>]         <a class="code" href="classocs__video.html#a86cb14f9723514d9b380eabf36f6ba59">SEL_I</a>,
<a name="l00180"></a><a class="code" href="classocs__video.html#aa47c1502e477666dbd1c979f52af8955">00180</a>     <span class="vhdlkeyword">input</span> [<span class="vhdllogic">31</span>:<span class="vhdllogic">0</span>]        <a class="code" href="classocs__video.html#aa47c1502e477666dbd1c979f52af8955">slave_DAT_I</a>,
<a name="l00181"></a><a class="code" href="classocs__video.html#aa7ff9730372e89b4829d43b16001f0bd">00181</a>     <span class="vhdlkeyword">output</span> <span class="vhdlkeyword">reg</span>          <a class="code" href="classocs__video.html#aa7ff9730372e89b4829d43b16001f0bd">ACK_O</a>,
<a name="l00182"></a>00182     <span class="keyword">//% @}</span>
<a name="l00183"></a>00183     
<a name="l00184"></a>00184     <span class="keyword">//% \name Not aligned register access on a 32-bit WISHBONE bus
</span>
<a name="l00185"></a>00185     <span class="keyword">//% @{</span>
<a name="l00186"></a>00186         <span class="keyword">// CLXDAT read not implemented here</span>
<a name="l00187"></a><a class="code" href="classocs__video.html#a2133bd67b6f065f5e0cd3507e87724db">00187</a>     <span class="vhdlkeyword">input</span>               <a class="code" href="classocs__video.html#a2133bd67b6f065f5e0cd3507e87724db">na_clx_dat_read</a>,
<a name="l00188"></a><a class="code" href="classocs__video.html#a623079c234d2f36735a9b9954d98437a">00188</a>     <span class="vhdlkeyword">output</span> [<span class="vhdllogic">15</span>:<span class="vhdllogic">0</span>]       <a class="code" href="classocs__video.html#a623079c234d2f36735a9b9954d98437a">na_clx_dat</a>,
<a name="l00189"></a>00189         <span class="keyword">// INTENA write implemented here</span>
<a name="l00190"></a><a class="code" href="classocs__video.html#a5054aab770c1105ee06bb0f68eef5dfe">00190</a>     <span class="vhdlkeyword">output</span>              <a class="code" href="classocs__video.html#a5054aab770c1105ee06bb0f68eef5dfe">na_int_ena_write</a>,
<a name="l00191"></a><a class="code" href="classocs__video.html#af79ae0324ed366d858a576b88e4e6ccb">00191</a>     <span class="vhdlkeyword">output</span> [<span class="vhdllogic">15</span>:<span class="vhdllogic">0</span>]       <a class="code" href="classocs__video.html#af79ae0324ed366d858a576b88e4e6ccb">na_int_ena</a>,
<a name="l00192"></a><a class="code" href="classocs__video.html#a442fdb8be0984472b6f6a3a9f2d4e099">00192</a>     <span class="vhdlkeyword">output</span> [<span class="vhdllogic">1</span>:<span class="vhdllogic">0</span>]        <a class="code" href="classocs__video.html#a442fdb8be0984472b6f6a3a9f2d4e099">na_int_ena_sel</a>,
<a name="l00193"></a>00193         <span class="keyword">// DMACON write implemented here</span>
<a name="l00194"></a><a class="code" href="classocs__video.html#afa53e326f80a22ebca3bb210fe47cd66">00194</a>     <span class="vhdlkeyword">output</span>              <a class="code" href="classocs__video.html#afa53e326f80a22ebca3bb210fe47cd66">na_dma_con_write</a>,
<a name="l00195"></a><a class="code" href="classocs__video.html#a2c01bb9a6be4afb4204f3d0f7a347644">00195</a>     <span class="vhdlkeyword">output</span> [<span class="vhdllogic">15</span>:<span class="vhdllogic">0</span>]       <a class="code" href="classocs__video.html#a2c01bb9a6be4afb4204f3d0f7a347644">na_dma_con</a>,
<a name="l00196"></a><a class="code" href="classocs__video.html#aa2d59adf216a2077662e86602a6915d1">00196</a>     <span class="vhdlkeyword">output</span> [<span class="vhdllogic">1</span>:<span class="vhdllogic">0</span>]        <a class="code" href="classocs__video.html#aa2d59adf216a2077662e86602a6915d1">na_dma_con_sel</a>,
<a name="l00197"></a>00197     <span class="keyword">//% @}</span>
<a name="l00198"></a>00198     
<a name="l00199"></a>00199     <span class="keyword">//% \name Direct drv_ssram read/write DMA burst video interface
</span>
<a name="l00200"></a>00200     <span class="keyword">//% @{</span>
<a name="l00201"></a>00201     <span class="keyword">// bitplain burst read</span>
<a name="l00202"></a><a class="code" href="classocs__video.html#a0130aed4a71759b5cefba3488e3f8011">00202</a>     <span class="vhdlkeyword">output</span>              <a class="code" href="classocs__video.html#a0130aed4a71759b5cefba3488e3f8011">burst_read_request</a>,
<a name="l00203"></a><a class="code" href="classocs__video.html#ad8874f329d3680382e354323a4a93402">00203</a>     <span class="vhdlkeyword">output</span> [<span class="vhdllogic">31</span>:<span class="vhdllogic">2</span>]       <a class="code" href="classocs__video.html#ad8874f329d3680382e354323a4a93402">burst_read_address</a>,
<a name="l00204"></a><a class="code" href="classocs__video.html#acf0ba2cc866c728293e17a6b316a4222">00204</a>     <span class="vhdlkeyword">input</span>               <a class="code" href="classocs__video.html#acf0ba2cc866c728293e17a6b316a4222">burst_read_ready</a>,
<a name="l00205"></a><a class="code" href="classocs__video.html#af3d8b919c16498003232b9ab870defc6">00205</a>     <span class="vhdlkeyword">input</span> [<span class="vhdllogic">31</span>:<span class="vhdllogic">0</span>]        <a class="code" href="classocs__video.html#af3d8b919c16498003232b9ab870defc6">burst_read_data</a>,
<a name="l00206"></a>00206     
<a name="l00207"></a>00207     <span class="keyword">// video output burst write</span>
<a name="l00208"></a><a class="code" href="classocs__video.html#afe30bc253ab84ced45064deebbf378e9">00208</a>     <span class="vhdlkeyword">output</span>              <a class="code" href="classocs__video.html#afe30bc253ab84ced45064deebbf378e9">burst_write_request</a>,
<a name="l00209"></a><a class="code" href="classocs__video.html#ab22ef9d603832ab7cab4439ffaa2ec92">00209</a>     <span class="vhdlkeyword">output</span> [<span class="vhdllogic">31</span>:<span class="vhdllogic">2</span>]       <a class="code" href="classocs__video.html#ab22ef9d603832ab7cab4439ffaa2ec92">burst_write_address</a>,
<a name="l00210"></a><a class="code" href="classocs__video.html#a1163ecfeb1833e24889a41071363adf0">00210</a>     <span class="vhdlkeyword">output</span> [<span class="vhdllogic">35</span>:<span class="vhdllogic">0</span>]       <a class="code" href="classocs__video.html#a1163ecfeb1833e24889a41071363adf0">burst_write_data</a>,
<a name="l00211"></a><a class="code" href="classocs__video.html#aeb32ba15ca859fc89bdd9c9ad916005f">00211</a>     <span class="vhdlkeyword">input</span>               <a class="code" href="classocs__video.html#aeb32ba15ca859fc89bdd9c9ad916005f">burst_write_ready</a>,
<a name="l00212"></a>00212     <span class="keyword">//% @}</span>
<a name="l00213"></a>00213     
<a name="l00214"></a>00214     <span class="keyword">//% \name Internal OCS ports
</span>
<a name="l00215"></a>00215     <span class="keyword">//% @{</span>
<a name="l00216"></a><a class="code" href="classocs__video.html#af384578fedff5bc1e6f1f34fefb4a969">00216</a>     <span class="vhdlkeyword">input</span>               <a class="code" href="classocs__video.html#af384578fedff5bc1e6f1f34fefb4a969">line_start</a>,
<a name="l00217"></a><a class="code" href="classocs__video.html#a70acf704ae7c2756696b4f90f5180e3e">00217</a>     <span class="vhdlkeyword">input</span>               <a class="code" href="classocs__video.html#a70acf704ae7c2756696b4f90f5180e3e">line_pre_start</a>,
<a name="l00218"></a><a class="code" href="classocs__video.html#ad8d1e13a527a25e94946b908d29d16ec">00218</a>     <span class="vhdlkeyword">input</span> [<span class="vhdllogic">8</span>:<span class="vhdllogic">0</span>]         <a class="code" href="classocs__video.html#ad8d1e13a527a25e94946b908d29d16ec">line_number</a>,
<a name="l00219"></a><a class="code" href="classocs__video.html#a130a4fe3a2feaefff1e84e0b8d3a789c">00219</a>     <span class="vhdlkeyword">input</span> [<span class="vhdllogic">8</span>:<span class="vhdllogic">0</span>]         <a class="code" href="classocs__video.html#a130a4fe3a2feaefff1e84e0b8d3a789c">column_number</a>,
<a name="l00220"></a>00220     
<a name="l00221"></a><a class="code" href="classocs__video.html#a156ca6647b7238572df1f1c8e96d425c">00221</a>     <span class="vhdlkeyword">input</span> [<span class="vhdllogic">10</span>:<span class="vhdllogic">0</span>]        <a class="code" href="classocs__video.html#a156ca6647b7238572df1f1c8e96d425c">dma_con</a>
<a name="l00222"></a>00222     <span class="keyword">//% @}</span>
<a name="l00223"></a>00223 );
<a name="l00224"></a>00224 
<a name="l00225"></a><a class="code" href="classocs__video.html#ab553c45af480693e842d887fc608bde4">00225</a> <span class="preprocessor">`define</span> <a class="code" href="classocs__video.html#ab553c45af480693e842d887fc608bde4">VIDEO_BUFFER</a>            <span class="vhdllogic">32&#39;h10180000</span>
<a name="l00226"></a><a class="code" href="classocs__video.html#a9cdabdc9ce400a60979172cec9412a33">00226</a> <span class="preprocessor">`define</span> <a class="code" href="classocs__video.html#a9cdabdc9ce400a60979172cec9412a33">VIDEO_BUFFER_DIV_4</a>      <span class="vhdllogic">30&#39;h04060000</span>
<a name="l00227"></a>00227 
<a name="l00228"></a>00228 <span class="keyword">// No: BPLCON0: External synchronize, Lace mode, lightpen, genlock audio enable, color composite</span>
<a name="l00229"></a>00229 <span class="keyword">// No: data fetch word after word - all fetched at once</span>
<a name="l00230"></a>00230 
<a name="l00231"></a>00231 <span class="keyword">/*                              16-bit      32-bit</span>
<a name="l00232"></a>00232 <span class="keyword">- get sprite data:              2x8         2x8     </span>
<a name="l00233"></a>00233 <span class="keyword">- get bitplain data:            40x6        21x6</span>
<a name="l00234"></a>00234 <span class="keyword">- save line to video memory:    214         214</span>
<a name="l00235"></a>00235 <span class="keyword">16-bit: 16+240+214 = 470 = 7.834 us</span>
<a name="l00236"></a>00236 <span class="keyword">32-bit: 16+126+640+214 = 996 = 16599.993 us</span>
<a name="l00237"></a>00237 <span class="keyword"></span>
<a name="l00238"></a>00238 <span class="keyword">PAL: 52.000 us / 64.000 us</span>
<a name="l00239"></a>00239 <span class="keyword">display out: 3.567 us / 26.667 us</span>
<a name="l00240"></a>00240 <span class="keyword">*/</span>
<a name="l00241"></a>00241 <span class="vhdlkeyword">assign</span> <a class="code" href="classocs__video.html#a5054aab770c1105ee06bb0f68eef5dfe">na_int_ena_write</a> = (<a class="code" href="classocs__video.html#a4d65b462592ed5f9d20fadf214f6d1d3">CYC_I</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classocs__video.html#a00f4550e8894fb96480ef452e1f9fbc5">STB_I</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classocs__video.html#aa06cc40e8e2b738e5aaf6244dbce78f2">WE_I</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; { <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } == <span class="vhdllogic">9&#39;h098</span> &amp;&amp; <a class="code" href="classocs__video.html#aa7ff9730372e89b4829d43b16001f0bd">ACK_O</a> == <span class="vhdllogic">1&#39;b0</span>);
<a name="l00242"></a>00242 <span class="vhdlkeyword">assign</span> <a class="code" href="classocs__video.html#af79ae0324ed366d858a576b88e4e6ccb">na_int_ena</a> = <a class="code" href="classocs__video.html#aa47c1502e477666dbd1c979f52af8955">slave_DAT_I</a>[<span class="vhdllogic">15</span>:<span class="vhdllogic">0</span>];
<a name="l00243"></a>00243 <span class="vhdlkeyword">assign</span> <a class="code" href="classocs__video.html#a442fdb8be0984472b6f6a3a9f2d4e099">na_int_ena_sel</a> = <a class="code" href="classocs__video.html#a86cb14f9723514d9b380eabf36f6ba59">SEL_I</a>[<span class="vhdllogic">1</span>:<span class="vhdllogic">0</span>];
<a name="l00244"></a>00244 
<a name="l00245"></a>00245 <span class="vhdlkeyword">assign</span> <a class="code" href="classocs__video.html#afa53e326f80a22ebca3bb210fe47cd66">na_dma_con_write</a> = (<a class="code" href="classocs__video.html#a4d65b462592ed5f9d20fadf214f6d1d3">CYC_I</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classocs__video.html#a00f4550e8894fb96480ef452e1f9fbc5">STB_I</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classocs__video.html#aa06cc40e8e2b738e5aaf6244dbce78f2">WE_I</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; { <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } == <span class="vhdllogic">9&#39;h094</span> &amp;&amp; <a class="code" href="classocs__video.html#aa7ff9730372e89b4829d43b16001f0bd">ACK_O</a> == <span class="vhdllogic">1&#39;b0</span>);
<a name="l00246"></a>00246 <span class="vhdlkeyword">assign</span> <a class="code" href="classocs__video.html#a2c01bb9a6be4afb4204f3d0f7a347644">na_dma_con</a> = <a class="code" href="classocs__video.html#aa47c1502e477666dbd1c979f52af8955">slave_DAT_I</a>[<span class="vhdllogic">15</span>:<span class="vhdllogic">0</span>];
<a name="l00247"></a>00247 <span class="vhdlkeyword">assign</span> <a class="code" href="classocs__video.html#aa2d59adf216a2077662e86602a6915d1">na_dma_con_sel</a> = <a class="code" href="classocs__video.html#a86cb14f9723514d9b380eabf36f6ba59">SEL_I</a>[<span class="vhdllogic">1</span>:<span class="vhdllogic">0</span>];
<a name="l00248"></a>00248 
<a name="l00249"></a>00249 <span class="vhdlkeyword">assign</span> <a class="code" href="classocs__video.html#aa62fc7bb20b7666cda000e0e4ccb23c5">SEL_O</a> = <span class="vhdllogic">4&#39;b1111</span>;
<a name="l00250"></a>00250 <span class="vhdlkeyword">assign</span> <a class="code" href="classocs__video.html#ae5ca4f24f744eefdef4e6a28ecc3fc46">WE_O</a> = <span class="vhdllogic">1&#39;b0</span>;
<a name="l00251"></a>00251 
<a name="l00252"></a><a class="code" href="classocs__video.html#a9c8c5d8ed7b7e855e644c85b68a0d6fe">00252</a> <span class="vhdlkeyword">wire</span> [<span class="vhdllogic">3</span>:<span class="vhdllogic">0</span>] <a class="code" href="classocs__video.html#a9c8c5d8ed7b7e855e644c85b68a0d6fe">dma_select</a>;
<a name="l00253"></a>00253 <span class="vhdlkeyword">assign</span> <a class="code" href="classocs__video.html#a9c8c5d8ed7b7e855e644c85b68a0d6fe">dma_select</a> = 
<a name="l00254"></a>00254     (<a class="code" href="classocs__video.html#ade79fd5999436785169afa51edc185b6">sprite0_dma_req</a> == <span class="vhdllogic">1&#39;b1</span>) ? <span class="vhdllogic">4&#39;d1</span> :
<a name="l00255"></a>00255     (<a class="code" href="classocs__video.html#ab532aff7f3a1c8c016071ad9d28280a2">sprite1_dma_req</a> == <span class="vhdllogic">1&#39;b1</span>) ? <span class="vhdllogic">4&#39;d2</span> :
<a name="l00256"></a>00256     (<a class="code" href="classocs__video.html#acf033337a96d61790be1270496f12155">sprite2_dma_req</a> == <span class="vhdllogic">1&#39;b1</span>) ? <span class="vhdllogic">4&#39;d3</span> :
<a name="l00257"></a>00257     (<a class="code" href="classocs__video.html#a2c639550e01c8b159c4b878b71bb4c63">sprite3_dma_req</a> == <span class="vhdllogic">1&#39;b1</span>) ? <span class="vhdllogic">4&#39;d4</span> :
<a name="l00258"></a>00258     (<a class="code" href="classocs__video.html#a40d55453e90db461a73186967c5e6a3b">sprite4_dma_req</a> == <span class="vhdllogic">1&#39;b1</span>) ? <span class="vhdllogic">4&#39;d5</span> :
<a name="l00259"></a>00259     (<a class="code" href="classocs__video.html#a662678b2d3dba9c1e662ab1a90025ab4">sprite5_dma_req</a> == <span class="vhdllogic">1&#39;b1</span>) ? <span class="vhdllogic">4&#39;d6</span> :
<a name="l00260"></a>00260     (<a class="code" href="classocs__video.html#ae4e4427a91e6a1abf1fbd63906a30166">sprite6_dma_req</a> == <span class="vhdllogic">1&#39;b1</span>) ? <span class="vhdllogic">4&#39;d7</span> :
<a name="l00261"></a>00261     (<a class="code" href="classocs__video.html#aeb8839f0158bf66accfbe4166cb09947">sprite7_dma_req</a> == <span class="vhdllogic">1&#39;b1</span>) ? <span class="vhdllogic">4&#39;d8</span> :
<a name="l00262"></a>00262     <span class="vhdllogic">4&#39;d0</span>;
<a name="l00263"></a>00263 
<a name="l00264"></a><a class="code" href="classocs__video.html#aaa0477f2d7ff6c070bf622625a090320">00264</a> <span class="vhdlkeyword">wire</span> [<span class="vhdllogic">31</span>:<span class="vhdllogic">2</span>] <a class="code" href="classocs__video.html#aaa0477f2d7ff6c070bf622625a090320">dma_address_select</a>;
<a name="l00265"></a>00265 <span class="vhdlkeyword">assign</span> <a class="code" href="classocs__video.html#aaa0477f2d7ff6c070bf622625a090320">dma_address_select</a> =
<a name="l00266"></a>00266     (<a class="code" href="classocs__video.html#a9c8c5d8ed7b7e855e644c85b68a0d6fe">dma_select</a> == <span class="vhdllogic">4&#39;d1</span>) ? <a class="code" href="classocs__video.html#a7a94adc76b3f21e464bbfb0774a9bb6c">sprite0_dma_address</a> :
<a name="l00267"></a>00267     (<a class="code" href="classocs__video.html#a9c8c5d8ed7b7e855e644c85b68a0d6fe">dma_select</a> == <span class="vhdllogic">4&#39;d2</span>) ? <a class="code" href="classocs__video.html#a1bf3b99b133ec9c051f11ab6e3586083">sprite1_dma_address</a> :
<a name="l00268"></a>00268     (<a class="code" href="classocs__video.html#a9c8c5d8ed7b7e855e644c85b68a0d6fe">dma_select</a> == <span class="vhdllogic">4&#39;d3</span>) ? <a class="code" href="classocs__video.html#a26aac08e38c95221540d7403a1980969">sprite2_dma_address</a> :
<a name="l00269"></a>00269     (<a class="code" href="classocs__video.html#a9c8c5d8ed7b7e855e644c85b68a0d6fe">dma_select</a> == <span class="vhdllogic">4&#39;d4</span>) ? <a class="code" href="classocs__video.html#aebff27ca789a6f6243e2d51e9327dcf4">sprite3_dma_address</a> :
<a name="l00270"></a>00270     (<a class="code" href="classocs__video.html#a9c8c5d8ed7b7e855e644c85b68a0d6fe">dma_select</a> == <span class="vhdllogic">4&#39;d5</span>) ? <a class="code" href="classocs__video.html#a7640e591cc4558e14a667dd9e7474f26">sprite4_dma_address</a> :
<a name="l00271"></a>00271     (<a class="code" href="classocs__video.html#a9c8c5d8ed7b7e855e644c85b68a0d6fe">dma_select</a> == <span class="vhdllogic">4&#39;d6</span>) ? <a class="code" href="classocs__video.html#a8017544b2c5c44a586d9ab06d588720e">sprite5_dma_address</a> :
<a name="l00272"></a>00272     (<a class="code" href="classocs__video.html#a9c8c5d8ed7b7e855e644c85b68a0d6fe">dma_select</a> == <span class="vhdllogic">4&#39;d7</span>) ? <a class="code" href="classocs__video.html#ae52d8b3eed70c3bf7fc458a7d70632a3">sprite6_dma_address</a> :
<a name="l00273"></a>00273     <a class="code" href="classocs__video.html#a0640d5f75423a32fdf23220315a10ed1">sprite7_dma_address</a>;
<a name="l00274"></a>00274 
<a name="l00275"></a><a class="code" href="classocs__video.html#a0358cc2bc1cae6804d648921de2a8c23">00275</a> <span class="vhdlkeyword">wire</span> [<span class="vhdllogic">5</span>:<span class="vhdllogic">0</span>] <a class="code" href="classocs__video.html#a0358cc2bc1cae6804d648921de2a8c23">bpl_color</a>;
<a name="l00276"></a><a class="code" href="classocs__video.html#a2017e7b54d089ff7fe4e96644252a81f">00276</a> <span class="vhdlkeyword">wire</span> [<span class="vhdllogic">1</span>:<span class="vhdllogic">0</span>] <a class="code" href="classocs__video.html#a2017e7b54d089ff7fe4e96644252a81f">sprite0_color</a>;
<a name="l00277"></a><a class="code" href="classocs__video.html#a3a14f08c0e90d8135f6f002eb8defa38">00277</a> <span class="vhdlkeyword">wire</span> [<span class="vhdllogic">1</span>:<span class="vhdllogic">0</span>] <a class="code" href="classocs__video.html#a3a14f08c0e90d8135f6f002eb8defa38">sprite1_color</a>;
<a name="l00278"></a><a class="code" href="classocs__video.html#a46fb2ca56546a8f14f5ef406d815e083">00278</a> <span class="vhdlkeyword">wire</span> <a class="code" href="classocs__video.html#a46fb2ca56546a8f14f5ef406d815e083">sprite01_attached</a>;
<a name="l00279"></a><a class="code" href="classocs__video.html#ae9b62e9795acef38366f5b73d21d2da1">00279</a> <span class="vhdlkeyword">wire</span> [<span class="vhdllogic">1</span>:<span class="vhdllogic">0</span>] <a class="code" href="classocs__video.html#ae9b62e9795acef38366f5b73d21d2da1">sprite2_color</a>;
<a name="l00280"></a><a class="code" href="classocs__video.html#a60fb085559bd6685b8d087d0ea1c5d7d">00280</a> <span class="vhdlkeyword">wire</span> [<span class="vhdllogic">1</span>:<span class="vhdllogic">0</span>] <a class="code" href="classocs__video.html#a60fb085559bd6685b8d087d0ea1c5d7d">sprite3_color</a>;
<a name="l00281"></a><a class="code" href="classocs__video.html#a06bd8d029771760cf2bf0f5c1569d61c">00281</a> <span class="vhdlkeyword">wire</span> <a class="code" href="classocs__video.html#a06bd8d029771760cf2bf0f5c1569d61c">sprite23_attached</a>;
<a name="l00282"></a><a class="code" href="classocs__video.html#a68b4f47f981ad2f2f21519573192dc8f">00282</a> <span class="vhdlkeyword">wire</span> [<span class="vhdllogic">1</span>:<span class="vhdllogic">0</span>] <a class="code" href="classocs__video.html#a68b4f47f981ad2f2f21519573192dc8f">sprite4_color</a>;
<a name="l00283"></a><a class="code" href="classocs__video.html#aec9583b638a5ac1704d5f787d2ea89d4">00283</a> <span class="vhdlkeyword">wire</span> [<span class="vhdllogic">1</span>:<span class="vhdllogic">0</span>] <a class="code" href="classocs__video.html#aec9583b638a5ac1704d5f787d2ea89d4">sprite5_color</a>;
<a name="l00284"></a><a class="code" href="classocs__video.html#a929427925513d53765dd3eb7505d3f6e">00284</a> <span class="vhdlkeyword">wire</span> <a class="code" href="classocs__video.html#a929427925513d53765dd3eb7505d3f6e">sprite45_attached</a>;
<a name="l00285"></a><a class="code" href="classocs__video.html#af883f91b4dbdc51d6cad9b6f9122e53d">00285</a> <span class="vhdlkeyword">wire</span> [<span class="vhdllogic">1</span>:<span class="vhdllogic">0</span>] <a class="code" href="classocs__video.html#af883f91b4dbdc51d6cad9b6f9122e53d">sprite6_color</a>;
<a name="l00286"></a><a class="code" href="classocs__video.html#a39c4177e584a3fdbdf8b4389fe402d6e">00286</a> <span class="vhdlkeyword">wire</span> [<span class="vhdllogic">1</span>:<span class="vhdllogic">0</span>] <a class="code" href="classocs__video.html#a39c4177e584a3fdbdf8b4389fe402d6e">sprite7_color</a>;
<a name="l00287"></a><a class="code" href="classocs__video.html#afba19e024628e5d23a731ac1e3babfab">00287</a> <span class="vhdlkeyword">wire</span> <a class="code" href="classocs__video.html#afba19e024628e5d23a731ac1e3babfab">sprite67_attached</a>;
<a name="l00288"></a><a class="code" href="classocs__video.html#ac327d8f07733b245bc99469d6d56d34d">00288</a> <span class="vhdlkeyword">wire</span> [<span class="vhdllogic">10</span>:<span class="vhdllogic">0</span>] <a class="code" href="classocs__video.html#ac327d8f07733b245bc99469d6d56d34d">bpl_con0</a>;
<a name="l00289"></a><a class="code" href="classocs__video.html#a2f380d3497aab09e6990895411dee5a9">00289</a> <span class="vhdlkeyword">wire</span> <a class="code" href="classocs__video.html#a2f380d3497aab09e6990895411dee5a9">window_line_enable</a>;
<a name="l00290"></a>00290 
<a name="l00291"></a><a class="code" href="classocs__video.html#a9a70718ff87667a7556ffdd83e9d6698">00291</a> <span class="vhdlkeyword">wire</span> <a class="code" href="classocs__video.html#a9a70718ff87667a7556ffdd83e9d6698">priority_write_ena</a>;
<a name="l00292"></a>00292 <span class="vhdlkeyword">assign</span> <a class="code" href="classocs__video.html#a9a70718ff87667a7556ffdd83e9d6698">priority_write_ena</a> = (<a class="code" href="classocs__video.html#a4d65b462592ed5f9d20fadf214f6d1d3">CYC_I</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classocs__video.html#a00f4550e8894fb96480ef452e1f9fbc5">STB_I</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classocs__video.html#aa06cc40e8e2b738e5aaf6244dbce78f2">WE_I</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classocs__video.html#aa7ff9730372e89b4829d43b16001f0bd">ACK_O</a> == <span class="vhdllogic">1&#39;b0</span> &amp;&amp;
<a name="l00293"></a>00293     (   ({ <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } &gt;= <span class="vhdllogic">9&#39;h180</span> &amp;&amp; { <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } &lt;= <span class="vhdllogic">9&#39;h1BC</span>) || 
<a name="l00294"></a>00294         { <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } == <span class="vhdllogic">9&#39;h08C</span> || { <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } == <span class="vhdllogic">9&#39;h090</span> || { <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } == <span class="vhdllogic">9&#39;h098</span> || { <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } == <span class="vhdllogic">9&#39;h104</span>
<a name="l00295"></a>00295     ));
<a name="l00296"></a>00296 
<a name="l00297"></a><a class="code" href="classocs__video.html#aeacd1cb4715e757be902c9642a9d0644">00297</a> <a class="code" href="classocs__video.html#aeacd1cb4715e757be902c9642a9d0644">video_priority</a> <span class="vhdlchar">video_priority_inst</span> (
<a name="l00298"></a>00298     .<a class="code" href="classvideo__priority.html#abf1aaed0ee2f67c228427dcf593cbf82">ocs_video</a>(<a class="code" href="classvideo__priority.html#abf1aaed0ee2f67c228427dcf593cbf82">ocs_video</a>),
<a name="l00299"></a>00299     .<a class="code" href="classvideo__priority.html#a5cffa9f1df5eafdf162885af5f9db43e">ocs_video</a>(<a class="code" href="classvideo__priority.html#a5cffa9f1df5eafdf162885af5f9db43e">ocs_video</a>),
<a name="l00300"></a>00300     
<a name="l00301"></a>00301     .<a class="code" href="classvideo__priority.html#a70240d2f3e00d409fad206ba1a183b59">ocs_video</a>(<a class="code" href="classvideo__priority.html#a70240d2f3e00d409fad206ba1a183b59">ocs_video</a>),
<a name="l00302"></a>00302     .<a class="code" href="classvideo__priority.html#a7db8e0ece574f0994ab0778d9664ef6e">ocs_video</a>(<a class="code" href="classvideo__priority.html#a7db8e0ece574f0994ab0778d9664ef6e">ocs_video</a>),
<a name="l00303"></a>00303     .<a class="code" href="classvideo__priority.html#a9c084e0e8ade1c73b276591df5f35062">ocs_video</a>(<a class="code" href="classvideo__priority.html#a9c084e0e8ade1c73b276591df5f35062">ocs_video</a>),
<a name="l00304"></a>00304     .<a class="code" href="classvideo__priority.html#a3cb65ccb821596be046bddd263e5f740">ocs_video</a>(<a class="code" href="classvideo__priority.html#a3cb65ccb821596be046bddd263e5f740">ocs_video</a>),
<a name="l00305"></a>00305     .<a class="code" href="classvideo__priority.html#a967ea2d3f3f0ed68ab13fcbfa28d74e2">ocs_video</a>(<a class="code" href="classvideo__priority.html#a967ea2d3f3f0ed68ab13fcbfa28d74e2">ocs_video</a>),
<a name="l00306"></a>00306     
<a name="l00307"></a>00307     .<a class="code" href="classvideo__priority.html#aa53348fc2624d5541c3c7ce335d96de0">ocs_video</a>(<a class="code" href="classocs__video.html#a9a70718ff87667a7556ffdd83e9d6698">priority_write_ena</a>),
<a name="l00308"></a>00308     <span class="keyword">// 0:   COLOR00,    COLOR01,</span>
<a name="l00309"></a>00309     <span class="keyword">// 1:   COLOR02,    COLOR03,</span>
<a name="l00310"></a>00310     <span class="keyword">// 2:   COLOR04,    COLOR05,</span>
<a name="l00311"></a>00311     <span class="keyword">// 3:   COLOR06,    COLOR07,</span>
<a name="l00312"></a>00312     <span class="keyword">// 4:   COLOR08,    COLOR09,</span>
<a name="l00313"></a>00313     <span class="keyword">// 5:   COLOR10,    COLOR11,</span>
<a name="l00314"></a>00314     <span class="keyword">// 6:   COLOR12,    COLOR13,</span>
<a name="l00315"></a>00315     <span class="keyword">// 7:   COLOR14,    COLOR15,</span>
<a name="l00316"></a>00316     <span class="keyword">// 8:   COLOR16,    COLOR17,</span>
<a name="l00317"></a>00317     <span class="keyword">// 9:   COLOR18,    COLOR19,</span>
<a name="l00318"></a>00318     <span class="keyword">// 10:  COLOR20,    COLOR21,</span>
<a name="l00319"></a>00319     <span class="keyword">// 11:  COLOR22,    COLOR23,</span>
<a name="l00320"></a>00320     <span class="keyword">// 12:  COLOR24,    COLOR25,</span>
<a name="l00321"></a>00321     <span class="keyword">// 13:  COLOR26,    COLOR27,</span>
<a name="l00322"></a>00322     <span class="keyword">// 14:  COLOR28,    COLOR29,</span>
<a name="l00323"></a>00323     <span class="keyword">// 15:  COLOR30,    COLOR31,</span>
<a name="l00324"></a>00324     <span class="keyword">// 16:      DIWSTRT [15:0],     COPINS      [31:16], * COPINS not implemented  </span>
<a name="l00325"></a>00325     <span class="keyword">// 17:      DIWSTOP [31:16],    DDFSTART    [15:0], *</span>
<a name="l00326"></a>00326     <span class="keyword">// 18:      CLXCON  [31:16],    INTENA      [15:0], *</span>
<a name="l00327"></a>00327     <span class="keyword">// 19:      BPLCON2 [31:16],    NOT USED    [15:0],</span>
<a name="l00328"></a>00328     <span class="keyword">// read:    CLXDAT  [15:0],     JOY1DAT     [31:16] *</span>
<a name="l00329"></a>00329     .<a class="code" href="classvideo__priority.html#a249b3c483c8a21b7647b327bf3cd865e">ocs_video</a>(
<a name="l00330"></a>00330         ({ <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } == <span class="vhdllogic">9&#39;h180</span>) ? <span class="vhdllogic">5&#39;d0</span> :
<a name="l00331"></a>00331         ({ <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } == <span class="vhdllogic">9&#39;h184</span>) ? <span class="vhdllogic">5&#39;d1</span> :
<a name="l00332"></a>00332         ({ <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } == <span class="vhdllogic">9&#39;h188</span>) ? <span class="vhdllogic">5&#39;d2</span> :
<a name="l00333"></a>00333         ({ <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } == <span class="vhdllogic">9&#39;h18C</span>) ? <span class="vhdllogic">5&#39;d3</span> :
<a name="l00334"></a>00334         ({ <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } == <span class="vhdllogic">9&#39;h190</span>) ? <span class="vhdllogic">5&#39;d4</span> :
<a name="l00335"></a>00335         ({ <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } == <span class="vhdllogic">9&#39;h194</span>) ? <span class="vhdllogic">5&#39;d5</span> :
<a name="l00336"></a>00336         ({ <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } == <span class="vhdllogic">9&#39;h198</span>) ? <span class="vhdllogic">5&#39;d6</span> :
<a name="l00337"></a>00337         ({ <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } == <span class="vhdllogic">9&#39;h19C</span>) ? <span class="vhdllogic">5&#39;d7</span> :
<a name="l00338"></a>00338         ({ <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } == <span class="vhdllogic">9&#39;h1A0</span>) ? <span class="vhdllogic">5&#39;d8</span> :
<a name="l00339"></a>00339         ({ <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } == <span class="vhdllogic">9&#39;h1A4</span>) ? <span class="vhdllogic">5&#39;d9</span> :
<a name="l00340"></a>00340         ({ <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } == <span class="vhdllogic">9&#39;h1A8</span>) ? <span class="vhdllogic">5&#39;d10</span> :
<a name="l00341"></a>00341         ({ <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } == <span class="vhdllogic">9&#39;h1AC</span>) ? <span class="vhdllogic">5&#39;d11</span> :
<a name="l00342"></a>00342         ({ <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } == <span class="vhdllogic">9&#39;h1B0</span>) ? <span class="vhdllogic">5&#39;d12</span> :
<a name="l00343"></a>00343         ({ <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } == <span class="vhdllogic">9&#39;h1B4</span>) ? <span class="vhdllogic">5&#39;d13</span> :
<a name="l00344"></a>00344         ({ <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } == <span class="vhdllogic">9&#39;h1B8</span>) ? <span class="vhdllogic">5&#39;d14</span> :
<a name="l00345"></a>00345         ({ <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } == <span class="vhdllogic">9&#39;h1BC</span>) ? <span class="vhdllogic">5&#39;d15</span> :
<a name="l00346"></a>00346         ({ <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } == <span class="vhdllogic">9&#39;h08C</span>) ? <span class="vhdllogic">5&#39;d16</span> :
<a name="l00347"></a>00347         ({ <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } == <span class="vhdllogic">9&#39;h090</span>) ? <span class="vhdllogic">5&#39;d17</span> :
<a name="l00348"></a>00348         ({ <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } == <span class="vhdllogic">9&#39;h098</span>) ? <span class="vhdllogic">5&#39;d18</span> :
<a name="l00349"></a>00349         <span class="vhdllogic">5&#39;d19</span>
<a name="l00350"></a>00350     ),
<a name="l00351"></a>00351     .<a class="code" href="classvideo__priority.html#a578e2dacf94d79bc24e170edb9d4ce83">ocs_video</a>(<a class="code" href="classocs__video.html#aa47c1502e477666dbd1c979f52af8955">slave_DAT_I</a>),
<a name="l00352"></a>00352     .<a class="code" href="classvideo__priority.html#a73c42730890adabba7eb336985911647">ocs_video</a>(<a class="code" href="classocs__video.html#a86cb14f9723514d9b380eabf36f6ba59">SEL_I</a>),
<a name="l00353"></a>00353     
<a name="l00354"></a>00354     .<a class="code" href="classvideo__priority.html#a0f86a68c77f2f1bb4f0f028067d5609e">ocs_video</a>(<a class="code" href="classvideo__priority.html#a0f86a68c77f2f1bb4f0f028067d5609e">ocs_video</a>),
<a name="l00355"></a>00355     .<a class="code" href="classvideo__priority.html#adbe3fde9009bd8e0d370e83f9b1abcca">ocs_video</a>(<a class="code" href="classvideo__priority.html#adbe3fde9009bd8e0d370e83f9b1abcca">ocs_video</a>),
<a name="l00356"></a>00356     .<a class="code" href="classvideo__priority.html#a070c9901131e96b738842267ff15b633">ocs_video</a>(<a class="code" href="classvideo__priority.html#a070c9901131e96b738842267ff15b633">ocs_video</a>),
<a name="l00357"></a>00357     .<a class="code" href="classvideo__priority.html#aecf822613d701e9031c9470afe01a91a">ocs_video</a>(<a class="code" href="classvideo__priority.html#aecf822613d701e9031c9470afe01a91a">ocs_video</a>),
<a name="l00358"></a>00358     .<a class="code" href="classvideo__priority.html#a8971e5ecd9c9dbca80e0c4597fac781d">ocs_video</a>(<a class="code" href="classvideo__priority.html#a8971e5ecd9c9dbca80e0c4597fac781d">ocs_video</a>),
<a name="l00359"></a>00359     .<a class="code" href="classvideo__priority.html#ae0220d1254d51986cd310cc9a475c041">ocs_video</a>(<a class="code" href="classvideo__priority.html#ae0220d1254d51986cd310cc9a475c041">ocs_video</a>),
<a name="l00360"></a>00360     .<a class="code" href="classvideo__priority.html#a5e8762b0191f6cfaa2624f684348e147">ocs_video</a>(<a class="code" href="classvideo__priority.html#a5e8762b0191f6cfaa2624f684348e147">ocs_video</a>),
<a name="l00361"></a>00361     .<a class="code" href="classvideo__priority.html#a015664a80387fc6fd78a5022bb3255b0">ocs_video</a>(<a class="code" href="classvideo__priority.html#a015664a80387fc6fd78a5022bb3255b0">ocs_video</a>),
<a name="l00362"></a>00362     .<a class="code" href="classvideo__priority.html#a3ee643e15ccc8e3019c40f8a7e75e119">ocs_video</a>(<a class="code" href="classvideo__priority.html#a3ee643e15ccc8e3019c40f8a7e75e119">ocs_video</a>),
<a name="l00363"></a>00363     .<a class="code" href="classvideo__priority.html#aa7c6b3a943e662e8b599a3bc53317931">ocs_video</a>(<a class="code" href="classvideo__priority.html#aa7c6b3a943e662e8b599a3bc53317931">ocs_video</a>),
<a name="l00364"></a>00364     .<a class="code" href="classvideo__priority.html#ae6ad889c92d0b5cd13ed7c8c7bb007ec">ocs_video</a>(<a class="code" href="classvideo__priority.html#ae6ad889c92d0b5cd13ed7c8c7bb007ec">ocs_video</a>),
<a name="l00365"></a>00365     .<a class="code" href="classvideo__priority.html#a312be0dfa63120582af9c5e1a086e90f">ocs_video</a>(<a class="code" href="classvideo__priority.html#a312be0dfa63120582af9c5e1a086e90f">ocs_video</a>),
<a name="l00366"></a>00366     .<a class="code" href="classvideo__priority.html#a16cc281a627fa556a5a8ea7c85757de3">ocs_video</a>(<a class="code" href="classvideo__priority.html#a16cc281a627fa556a5a8ea7c85757de3">ocs_video</a>),
<a name="l00367"></a>00367     
<a name="l00368"></a>00368     .<a class="code" href="classvideo__priority.html#ac2441916ea9813e67cbfb1821da6b586">ocs_video</a>(<a class="code" href="classocs__video.html#a2133bd67b6f065f5e0cd3507e87724db">na_clx_dat_read</a>),
<a name="l00369"></a>00369     .<a class="code" href="classvideo__priority.html#a90f4a68f2cf29bebc82da10916240135">ocs_video</a>(<a class="code" href="classocs__video.html#a623079c234d2f36735a9b9954d98437a">na_clx_dat</a>),
<a name="l00370"></a>00370     
<a name="l00371"></a>00371     .<a class="code" href="classvideo__priority.html#a7180ae8eca8a1069b932250dd2668f92">ocs_video</a>(<a class="code" href="classvideo__priority.html#a7180ae8eca8a1069b932250dd2668f92">ocs_video</a>),
<a name="l00372"></a>00372     
<a name="l00373"></a>00373     <span class="keyword">// video interface</span>
<a name="l00374"></a>00374     .<a class="code" href="classvideo__priority.html#a4d82e3563ff52439550701fcef2d6cf3">ocs_video</a>(<a class="code" href="classvideo__priority.html#a4d82e3563ff52439550701fcef2d6cf3">ocs_video</a>),
<a name="l00375"></a>00375     .<a class="code" href="classvideo__priority.html#aed83a73389043aa5e85ce5e0e30a14bc">ocs_video</a>(<a class="code" href="classvideo__priority.html#aed83a73389043aa5e85ce5e0e30a14bc">ocs_video</a>),
<a name="l00376"></a>00376     .<a class="code" href="classvideo__priority.html#ad73798ab006b419898ceee684e789853">ocs_video</a>(<a class="code" href="classvideo__priority.html#ad73798ab006b419898ceee684e789853">ocs_video</a>),
<a name="l00377"></a>00377     .<a class="code" href="classvideo__priority.html#a9df6d68f4d69b5b0df56a70106b42e85">ocs_video</a>(<a class="code" href="classvideo__priority.html#a9df6d68f4d69b5b0df56a70106b42e85">ocs_video</a>)
<a name="l00378"></a>00378 );
<a name="l00379"></a>00379 
<a name="l00380"></a><a class="code" href="classocs__video.html#a4d97e96048b6c644b12aa0e5838d3cc2">00380</a> <span class="vhdlkeyword">wire</span> <a class="code" href="classocs__video.html#a4d97e96048b6c644b12aa0e5838d3cc2">bitplains_write_ena</a>;
<a name="l00381"></a>00381 <span class="vhdlkeyword">assign</span> <a class="code" href="classocs__video.html#a4d97e96048b6c644b12aa0e5838d3cc2">bitplains_write_ena</a> = (<a class="code" href="classocs__video.html#a4d65b462592ed5f9d20fadf214f6d1d3">CYC_I</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classocs__video.html#a00f4550e8894fb96480ef452e1f9fbc5">STB_I</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classocs__video.html#aa06cc40e8e2b738e5aaf6244dbce78f2">WE_I</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classocs__video.html#aa7ff9730372e89b4829d43b16001f0bd">ACK_O</a> == <span class="vhdllogic">1&#39;b0</span> &amp;&amp;
<a name="l00382"></a>00382     (   ({ <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } &gt;= <span class="vhdllogic">9&#39;h0E0</span> &amp;&amp; { <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } &lt;= <span class="vhdllogic">9&#39;h0F4</span>) || 
<a name="l00383"></a>00383         { <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } == <span class="vhdllogic">9&#39;h090</span> ||
<a name="l00384"></a>00384         { <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } == <span class="vhdllogic">9&#39;h094</span> ||
<a name="l00385"></a>00385         { <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } == <span class="vhdllogic">9&#39;h100</span> ||
<a name="l00386"></a>00386         { <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } == <span class="vhdllogic">9&#39;h108</span> ||
<a name="l00387"></a>00387         ({ <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } &gt;= <span class="vhdllogic">9&#39;h110</span> &amp;&amp; { <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } &lt;= <span class="vhdllogic">9&#39;h118</span>)
<a name="l00388"></a>00388     ));
<a name="l00389"></a>00389 
<a name="l00390"></a><a class="code" href="classocs__video.html#a8a66e9ab9537f7e86cac52a83eda1842">00390</a> <span class="vhdlkeyword">wire</span> [<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>] <a class="code" href="classocs__video.html#a8a66e9ab9537f7e86cac52a83eda1842">disabled_sprites</a>;
<a name="l00391"></a>00391 
<a name="l00392"></a><a class="code" href="classocs__video.html#a39717a4fe9110ea91be62c7cfbbec2f8">00392</a> <a class="code" href="classocs__video.html#a39717a4fe9110ea91be62c7cfbbec2f8">bitplains</a> <span class="vhdlchar">bitplains_inst</span>(
<a name="l00393"></a>00393     .<a class="code" href="classbitplains.html#a278d0a2942b3abca58cae15fcc28a7b2">ocs_video</a>(<a class="code" href="classbitplains.html#a278d0a2942b3abca58cae15fcc28a7b2">ocs_video</a>),
<a name="l00394"></a>00394     .<a class="code" href="classbitplains.html#a46f7b8b0e114227368a79452fb8323d5">ocs_video</a>(<a class="code" href="classbitplains.html#a46f7b8b0e114227368a79452fb8323d5">ocs_video</a>),
<a name="l00395"></a>00395     
<a name="l00396"></a>00396     .<a class="code" href="classbitplains.html#ad1179b6c7d81990b05ce599548d2e03d">ocs_video</a>(<a class="code" href="classbitplains.html#ad1179b6c7d81990b05ce599548d2e03d">ocs_video</a>),
<a name="l00397"></a>00397     .<a class="code" href="classbitplains.html#aced59ad75c2796f4ebabd5d4a94edeb6">ocs_video</a>(<a class="code" href="classbitplains.html#aced59ad75c2796f4ebabd5d4a94edeb6">ocs_video</a>),
<a name="l00398"></a>00398     
<a name="l00399"></a>00399     <span class="keyword">// video interface - read</span>
<a name="l00400"></a>00400     .<a class="code" href="classbitplains.html#aa2c0c712d7f58ff4b749616b4f9e3b8c">ocs_video</a>(<a class="code" href="classocs__video.html#a156ca6647b7238572df1f1c8e96d425c">dma_con</a>[<span class="vhdllogic">9</span>] == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classocs__video.html#a156ca6647b7238572df1f1c8e96d425c">dma_con</a>[<span class="vhdllogic">8</span>] == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classocs__video.html#a2f380d3497aab09e6990895411dee5a9">window_line_enable</a> == <span class="vhdllogic">1&#39;b1</span>),
<a name="l00401"></a>00401     .<a class="code" href="classbitplains.html#a7657a3a9d83a0c9b2e5b7cf54459fabc">ocs_video</a>(<a class="code" href="classbitplains.html#a7657a3a9d83a0c9b2e5b7cf54459fabc">ocs_video</a>),
<a name="l00402"></a>00402     .<a class="code" href="classbitplains.html#a598a850ba6eaa6d134cc8380131b49bf">ocs_video</a>(<a class="code" href="classbitplains.html#a598a850ba6eaa6d134cc8380131b49bf">ocs_video</a>),
<a name="l00403"></a>00403     .<a class="code" href="classbitplains.html#ac59e0e6175e6d48a187c1d211c0d213d">ocs_video</a>(<a class="code" href="classbitplains.html#ac59e0e6175e6d48a187c1d211c0d213d">ocs_video</a>),
<a name="l00404"></a>00404     .<a class="code" href="classbitplains.html#ab6ddaa2d8facfb0da3bb91e6a00f9a84">ocs_video</a>(<a class="code" href="classbitplains.html#ab6ddaa2d8facfb0da3bb91e6a00f9a84">ocs_video</a>),
<a name="l00405"></a>00405     
<a name="l00406"></a>00406     .<a class="code" href="classbitplains.html#a26d2e3fe4c3184018034e3accf865a9f">ocs_video</a>(<a class="code" href="classocs__video.html#a4d97e96048b6c644b12aa0e5838d3cc2">bitplains_write_ena</a>),
<a name="l00407"></a>00407     <span class="keyword">// 0:   BPL1PTH,    BPL1PTL,</span>
<a name="l00408"></a>00408     <span class="keyword">// 1:   BPL2PTH,    BPL2PTL,</span>
<a name="l00409"></a>00409     <span class="keyword">// 2:   BPL3PTH,    BPL3PTL,</span>
<a name="l00410"></a>00410     <span class="keyword">// 3:   BPL4PTH,    BPL4PTL,</span>
<a name="l00411"></a>00411     <span class="keyword">// 4:   BPL5PTH,    BPL5PTL,</span>
<a name="l00412"></a>00412     <span class="keyword">// 5:   BPL6PTH,    BPL6PTL,</span>
<a name="l00413"></a>00413     <span class="keyword">// 6:       DDFSTRT [15:0],     DIWSTOP [31:16], *</span>
<a name="l00414"></a>00414     <span class="keyword">// 7:       DDFSTOP [31:16],    DMACON  [15:0], *</span>
<a name="l00415"></a>00415     <span class="keyword">// 8:   BPLCON0,    BPLCON1,</span>
<a name="l00416"></a>00416     <span class="keyword">// 9:   BPL1MOD,    BPL2MOD,</span>
<a name="l00417"></a>00417     <span class="keyword">// 10:  BPL1DAT,    BPL2DAT,</span>
<a name="l00418"></a>00418     <span class="keyword">// 11:  BPL3DAT,    BPL4DAT,</span>
<a name="l00419"></a>00419     <span class="keyword">// 12:  BPL5DAT,    BPL6DAT</span>
<a name="l00420"></a>00420     .<a class="code" href="classbitplains.html#a82da180e2f90d8aea5d9b9b597d0aa50">ocs_video</a>(
<a name="l00421"></a>00421         ({ <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } == <span class="vhdllogic">9&#39;h0E0</span>) ? <span class="vhdllogic">4&#39;d0</span> :
<a name="l00422"></a>00422         ({ <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } == <span class="vhdllogic">9&#39;h0E4</span>) ? <span class="vhdllogic">4&#39;d1</span> :
<a name="l00423"></a>00423         ({ <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } == <span class="vhdllogic">9&#39;h0E8</span>) ? <span class="vhdllogic">4&#39;d2</span> :
<a name="l00424"></a>00424         ({ <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } == <span class="vhdllogic">9&#39;h0EC</span>) ? <span class="vhdllogic">4&#39;d3</span> :
<a name="l00425"></a>00425         ({ <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } == <span class="vhdllogic">9&#39;h0F0</span>) ? <span class="vhdllogic">4&#39;d4</span> :
<a name="l00426"></a>00426         ({ <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } == <span class="vhdllogic">9&#39;h0F4</span>) ? <span class="vhdllogic">4&#39;d5</span> :
<a name="l00427"></a>00427         ({ <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } == <span class="vhdllogic">9&#39;h090</span>) ? <span class="vhdllogic">4&#39;d6</span> :
<a name="l00428"></a>00428         ({ <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } == <span class="vhdllogic">9&#39;h094</span>) ? <span class="vhdllogic">4&#39;d7</span> :
<a name="l00429"></a>00429         ({ <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } == <span class="vhdllogic">9&#39;h100</span>) ? <span class="vhdllogic">4&#39;d8</span> :
<a name="l00430"></a>00430         ({ <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } == <span class="vhdllogic">9&#39;h108</span>) ? <span class="vhdllogic">4&#39;d9</span> :
<a name="l00431"></a>00431         ({ <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } == <span class="vhdllogic">9&#39;h110</span>) ? <span class="vhdllogic">4&#39;d10</span> :
<a name="l00432"></a>00432         ({ <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } == <span class="vhdllogic">9&#39;h114</span>) ? <span class="vhdllogic">4&#39;d11</span> :
<a name="l00433"></a>00433         <span class="vhdllogic">4&#39;d12</span>
<a name="l00434"></a>00434     ),
<a name="l00435"></a>00435     .<a class="code" href="classbitplains.html#a835e5c88d48823747837e1ad8ebbe364">ocs_video</a>(<a class="code" href="classocs__video.html#aa47c1502e477666dbd1c979f52af8955">slave_DAT_I</a>),
<a name="l00436"></a>00436     .<a class="code" href="classbitplains.html#ae9bf37892b79f8664e222f13d1ed2b32">ocs_video</a>(<a class="code" href="classocs__video.html#a86cb14f9723514d9b380eabf36f6ba59">SEL_I</a>),
<a name="l00437"></a>00437     
<a name="l00438"></a>00438     .<a class="code" href="classbitplains.html#aa2fafb8c23de9879a62f14dce6981949">ocs_video</a>(<a class="code" href="classocs__video.html#a8a66e9ab9537f7e86cac52a83eda1842">disabled_sprites</a>),
<a name="l00439"></a>00439     .<a class="code" href="classbitplains.html#af80537ffa07a14f250b6220289c9bfee">ocs_video</a>(<a class="code" href="classbitplains.html#af80537ffa07a14f250b6220289c9bfee">ocs_video</a>),
<a name="l00440"></a>00440     .<a class="code" href="classbitplains.html#ac2c3f5e3e05cdc90e00b8869b1ee8ade">ocs_video</a>(<a class="code" href="classocs__video.html#a0358cc2bc1cae6804d648921de2a8c23">bpl_color</a>),
<a name="l00441"></a>00441     
<a name="l00442"></a>00442     .<a class="code" href="classbitplains.html#aceecb4130658c4703542c6a00161b8e4">ocs_video</a>(<a class="code" href="classbitplains.html#aceecb4130658c4703542c6a00161b8e4">ocs_video</a>)
<a name="l00443"></a>00443 );
<a name="l00444"></a>00444 
<a name="l00445"></a><a class="code" href="classocs__video.html#a7928e4114600010308b0272829948480">00445</a> <span class="vhdlkeyword">wire</span> <a class="code" href="classocs__video.html#a7928e4114600010308b0272829948480">sprite0_write_ena</a>;
<a name="l00446"></a><a class="code" href="classocs__video.html#ade79fd5999436785169afa51edc185b6">00446</a> <span class="vhdlkeyword">wire</span> <a class="code" href="classocs__video.html#ade79fd5999436785169afa51edc185b6">sprite0_dma_req</a>;
<a name="l00447"></a><a class="code" href="classocs__video.html#a7a94adc76b3f21e464bbfb0774a9bb6c">00447</a> <span class="vhdlkeyword">wire</span> [<span class="vhdllogic">31</span>:<span class="vhdllogic">2</span>] <a class="code" href="classocs__video.html#a7a94adc76b3f21e464bbfb0774a9bb6c">sprite0_dma_address</a>;
<a name="l00448"></a>00448 
<a name="l00449"></a>00449 <span class="vhdlkeyword">assign</span> <a class="code" href="classocs__video.html#a7928e4114600010308b0272829948480">sprite0_write_ena</a> = (<a class="code" href="classocs__video.html#a4d65b462592ed5f9d20fadf214f6d1d3">CYC_I</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classocs__video.html#a00f4550e8894fb96480ef452e1f9fbc5">STB_I</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classocs__video.html#aa06cc40e8e2b738e5aaf6244dbce78f2">WE_I</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classocs__video.html#aa7ff9730372e89b4829d43b16001f0bd">ACK_O</a> == <span class="vhdllogic">1&#39;b0</span> &amp;&amp;
<a name="l00450"></a>00450     ({ <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } == <span class="vhdllogic">9&#39;h120</span> || { <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } == <span class="vhdllogic">9&#39;h140</span> || { <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } == <span class="vhdllogic">9&#39;h144</span>) );
<a name="l00451"></a>00451 
<a name="l00452"></a><a class="code" href="classocs__video.html#a51805200ab4ddc46441ce59bc8f5875f">00452</a> <a class="code" href="classocs__video.html#adb4ae54f10f3ea35f7d8a888f0e4930f">sprite</a> <span class="vhdlchar">sprite0_inst</span>(
<a name="l00453"></a>00453     .<a class="code" href="classsprite.html#a42c8010ba50e5336c9a45c55e0316eba">ocs_video</a>(<a class="code" href="classsprite.html#a42c8010ba50e5336c9a45c55e0316eba">ocs_video</a>),
<a name="l00454"></a>00454     .<a class="code" href="classsprite.html#a2ebcd6731afc611a143ae971e657ba77">ocs_video</a>(<a class="code" href="classsprite.html#a2ebcd6731afc611a143ae971e657ba77">ocs_video</a>),
<a name="l00455"></a>00455     
<a name="l00456"></a>00456     .<a class="code" href="classsprite.html#ad4163c8d864a8e3b9cb38892bd2f8335">ocs_video</a>(<a class="code" href="classsprite.html#ad4163c8d864a8e3b9cb38892bd2f8335">ocs_video</a>),
<a name="l00457"></a>00457     .<a class="code" href="classsprite.html#aa539ca91a61a30ad96248f5cf99148e2">ocs_video</a>(<a class="code" href="classsprite.html#aa539ca91a61a30ad96248f5cf99148e2">ocs_video</a>),
<a name="l00458"></a>00458     .<a class="code" href="classsprite.html#a5c6a30c2697339de6c2d50856ae112e2">ocs_video</a>(<a class="code" href="classsprite.html#a5c6a30c2697339de6c2d50856ae112e2">ocs_video</a>),
<a name="l00459"></a>00459     
<a name="l00460"></a>00460     .<a class="code" href="classsprite.html#a3a7679be423fbf3f83c3fe5b30ef742f">ocs_video</a>(<a class="code" href="classocs__video.html#a156ca6647b7238572df1f1c8e96d425c">dma_con</a>[<span class="vhdllogic">9</span>] == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classocs__video.html#a156ca6647b7238572df1f1c8e96d425c">dma_con</a>[<span class="vhdllogic">5</span>] == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classocs__video.html#a8a66e9ab9537f7e86cac52a83eda1842">disabled_sprites</a>[<span class="vhdllogic">0</span>] == <span class="vhdllogic">1&#39;b0</span>),
<a name="l00461"></a>00461     .<a class="code" href="classsprite.html#a413d2813585f09309d46232998c19f85">ocs_video</a>(<a class="code" href="classocs__video.html#ade79fd5999436785169afa51edc185b6">sprite0_dma_req</a>),
<a name="l00462"></a>00462     .<a class="code" href="classsprite.html#a5ce50869414c1c126080730a645b673f">ocs_video</a>(<a class="code" href="classocs__video.html#a7a94adc76b3f21e464bbfb0774a9bb6c">sprite0_dma_address</a>),
<a name="l00463"></a>00463     .<a class="code" href="classsprite.html#ad220124fb82cdfac42b27e643f0ed125">ocs_video</a>(<a class="code" href="classocs__video.html#a9c8c5d8ed7b7e855e644c85b68a0d6fe">dma_select</a> == <span class="vhdllogic">4&#39;d1</span> &amp;&amp; <a class="code" href="classocs__video.html#a8324b684d196a39e23bade6d00d841f4">ACK_I</a> == <span class="vhdllogic">1&#39;b1</span>),
<a name="l00464"></a>00464     .<a class="code" href="classsprite.html#a3bf0ca2d8608176da76b9f5c0484176b">ocs_video</a>(<a class="code" href="classocs__video.html#a4729454a7d3dd9d2cca875b6713618f4">master_DAT_I</a>),
<a name="l00465"></a>00465     
<a name="l00466"></a>00466     .<a class="code" href="classsprite.html#a8a85308a8c349a19336877c957b55f99">ocs_video</a>(<a class="code" href="classocs__video.html#a7928e4114600010308b0272829948480">sprite0_write_ena</a>),
<a name="l00467"></a>00467     <span class="keyword">// 0:   SPR0PTH,    SPR0PTL,</span>
<a name="l00468"></a>00468     <span class="keyword">// 1:   SPR0POS,    SPR0CTL,</span>
<a name="l00469"></a>00469     <span class="keyword">// 2:   SPR0DATA,   SPR0DATB,</span>
<a name="l00470"></a>00470     .<a class="code" href="classsprite.html#ad33d6e9590fc9b73d5a92aab8bd68276">ocs_video</a>(
<a name="l00471"></a>00471         ({ <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } == <span class="vhdllogic">9&#39;h120</span>) ? <span class="vhdllogic">2&#39;d0</span> :
<a name="l00472"></a>00472         ({ <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } == <span class="vhdllogic">9&#39;h140</span>) ? <span class="vhdllogic">2&#39;d1</span> :
<a name="l00473"></a>00473         <span class="vhdllogic">2&#39;d2</span>
<a name="l00474"></a>00474     ),
<a name="l00475"></a>00475     .<a class="code" href="classsprite.html#af1edfe6e9df5bd185928d5aff0e07638">ocs_video</a>(<a class="code" href="classocs__video.html#aa47c1502e477666dbd1c979f52af8955">slave_DAT_I</a>),
<a name="l00476"></a>00476     .<a class="code" href="classsprite.html#a5a9c4d9a17ab708fa90ddeb53ea0dd11">ocs_video</a>(<a class="code" href="classocs__video.html#a86cb14f9723514d9b380eabf36f6ba59">SEL_I</a>),
<a name="l00477"></a>00477     
<a name="l00478"></a>00478     .<a class="code" href="classsprite.html#ae1d6cbb444aa4080db1b37c6fd04617e">ocs_video</a>(),
<a name="l00479"></a>00479     .<a class="code" href="classsprite.html#a88a2678be5e5cf8014f8140cacaf95be">ocs_video</a>(<a class="code" href="classocs__video.html#a2017e7b54d089ff7fe4e96644252a81f">sprite0_color</a>)
<a name="l00480"></a>00480 );
<a name="l00481"></a>00481 
<a name="l00482"></a><a class="code" href="classocs__video.html#ad95b0798a54cf5ad16f5efd7a845c355">00482</a> <span class="vhdlkeyword">wire</span> <a class="code" href="classocs__video.html#ad95b0798a54cf5ad16f5efd7a845c355">sprite1_write_ena</a>;
<a name="l00483"></a><a class="code" href="classocs__video.html#ab532aff7f3a1c8c016071ad9d28280a2">00483</a> <span class="vhdlkeyword">wire</span> <a class="code" href="classocs__video.html#ab532aff7f3a1c8c016071ad9d28280a2">sprite1_dma_req</a>;
<a name="l00484"></a><a class="code" href="classocs__video.html#a1bf3b99b133ec9c051f11ab6e3586083">00484</a> <span class="vhdlkeyword">wire</span> [<span class="vhdllogic">31</span>:<span class="vhdllogic">2</span>] <a class="code" href="classocs__video.html#a1bf3b99b133ec9c051f11ab6e3586083">sprite1_dma_address</a>;
<a name="l00485"></a>00485 
<a name="l00486"></a>00486 <span class="vhdlkeyword">assign</span> <a class="code" href="classocs__video.html#ad95b0798a54cf5ad16f5efd7a845c355">sprite1_write_ena</a> = (<a class="code" href="classocs__video.html#a4d65b462592ed5f9d20fadf214f6d1d3">CYC_I</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classocs__video.html#a00f4550e8894fb96480ef452e1f9fbc5">STB_I</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classocs__video.html#aa06cc40e8e2b738e5aaf6244dbce78f2">WE_I</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classocs__video.html#aa7ff9730372e89b4829d43b16001f0bd">ACK_O</a> == <span class="vhdllogic">1&#39;b0</span> &amp;&amp;
<a name="l00487"></a>00487     ({ <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } == <span class="vhdllogic">9&#39;h124</span> || { <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } == <span class="vhdllogic">9&#39;h148</span> || { <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } == <span class="vhdllogic">9&#39;h14C</span>) );
<a name="l00488"></a>00488 
<a name="l00489"></a><a class="code" href="classocs__video.html#a683e5a48aef8963d97b25138df45286c">00489</a> <a class="code" href="classocs__video.html#adb4ae54f10f3ea35f7d8a888f0e4930f">sprite</a> <span class="vhdlchar">sprite1_inst</span>(
<a name="l00490"></a>00490     .<a class="code" href="classsprite.html#a42c8010ba50e5336c9a45c55e0316eba">ocs_video</a>(<a class="code" href="classsprite.html#a42c8010ba50e5336c9a45c55e0316eba">ocs_video</a>),
<a name="l00491"></a>00491     .<a class="code" href="classsprite.html#a2ebcd6731afc611a143ae971e657ba77">ocs_video</a>(<a class="code" href="classsprite.html#a2ebcd6731afc611a143ae971e657ba77">ocs_video</a>),
<a name="l00492"></a>00492     
<a name="l00493"></a>00493     .<a class="code" href="classsprite.html#ad4163c8d864a8e3b9cb38892bd2f8335">ocs_video</a>(<a class="code" href="classsprite.html#ad4163c8d864a8e3b9cb38892bd2f8335">ocs_video</a>),
<a name="l00494"></a>00494     .<a class="code" href="classsprite.html#aa539ca91a61a30ad96248f5cf99148e2">ocs_video</a>(<a class="code" href="classsprite.html#aa539ca91a61a30ad96248f5cf99148e2">ocs_video</a>),
<a name="l00495"></a>00495     .<a class="code" href="classsprite.html#a5c6a30c2697339de6c2d50856ae112e2">ocs_video</a>(<a class="code" href="classsprite.html#a5c6a30c2697339de6c2d50856ae112e2">ocs_video</a>),
<a name="l00496"></a>00496     
<a name="l00497"></a>00497     .<a class="code" href="classsprite.html#a3a7679be423fbf3f83c3fe5b30ef742f">ocs_video</a>(<a class="code" href="classocs__video.html#a156ca6647b7238572df1f1c8e96d425c">dma_con</a>[<span class="vhdllogic">9</span>] == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classocs__video.html#a156ca6647b7238572df1f1c8e96d425c">dma_con</a>[<span class="vhdllogic">5</span>] == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classocs__video.html#a8a66e9ab9537f7e86cac52a83eda1842">disabled_sprites</a>[<span class="vhdllogic">1</span>] == <span class="vhdllogic">1&#39;b0</span>),
<a name="l00498"></a>00498     .<a class="code" href="classsprite.html#a413d2813585f09309d46232998c19f85">ocs_video</a>(<a class="code" href="classocs__video.html#ab532aff7f3a1c8c016071ad9d28280a2">sprite1_dma_req</a>),
<a name="l00499"></a>00499     .<a class="code" href="classsprite.html#a5ce50869414c1c126080730a645b673f">ocs_video</a>(<a class="code" href="classocs__video.html#a1bf3b99b133ec9c051f11ab6e3586083">sprite1_dma_address</a>),
<a name="l00500"></a>00500     .<a class="code" href="classsprite.html#ad220124fb82cdfac42b27e643f0ed125">ocs_video</a>(<a class="code" href="classocs__video.html#a9c8c5d8ed7b7e855e644c85b68a0d6fe">dma_select</a> == <span class="vhdllogic">4&#39;d2</span> &amp;&amp; <a class="code" href="classocs__video.html#a8324b684d196a39e23bade6d00d841f4">ACK_I</a> == <span class="vhdllogic">1&#39;b1</span>),
<a name="l00501"></a>00501     .<a class="code" href="classsprite.html#a3bf0ca2d8608176da76b9f5c0484176b">ocs_video</a>(<a class="code" href="classocs__video.html#a4729454a7d3dd9d2cca875b6713618f4">master_DAT_I</a>),
<a name="l00502"></a>00502     
<a name="l00503"></a>00503     .<a class="code" href="classsprite.html#a8a85308a8c349a19336877c957b55f99">ocs_video</a>(<a class="code" href="classocs__video.html#ad95b0798a54cf5ad16f5efd7a845c355">sprite1_write_ena</a>),
<a name="l00504"></a>00504     <span class="keyword">// 0:   SPR0PTH,    SPR0PTL,</span>
<a name="l00505"></a>00505     <span class="keyword">// 1:   SPR0POS,    SPR0CTL,</span>
<a name="l00506"></a>00506     <span class="keyword">// 2:   SPR0DATA,   SPR0DATB,</span>
<a name="l00507"></a>00507     .<a class="code" href="classsprite.html#ad33d6e9590fc9b73d5a92aab8bd68276">ocs_video</a>(
<a name="l00508"></a>00508         ({ <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } == <span class="vhdllogic">9&#39;h124</span>) ? <span class="vhdllogic">2&#39;d0</span> :
<a name="l00509"></a>00509         ({ <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } == <span class="vhdllogic">9&#39;h148</span>) ? <span class="vhdllogic">2&#39;d1</span> :
<a name="l00510"></a>00510         <span class="vhdllogic">2&#39;d2</span>
<a name="l00511"></a>00511     ),
<a name="l00512"></a>00512     .<a class="code" href="classsprite.html#af1edfe6e9df5bd185928d5aff0e07638">ocs_video</a>(<a class="code" href="classocs__video.html#aa47c1502e477666dbd1c979f52af8955">slave_DAT_I</a>),
<a name="l00513"></a>00513     .<a class="code" href="classsprite.html#a5a9c4d9a17ab708fa90ddeb53ea0dd11">ocs_video</a>(<a class="code" href="classocs__video.html#a86cb14f9723514d9b380eabf36f6ba59">SEL_I</a>),
<a name="l00514"></a>00514     
<a name="l00515"></a>00515     .<a class="code" href="classsprite.html#ae1d6cbb444aa4080db1b37c6fd04617e">ocs_video</a>(<a class="code" href="classocs__video.html#a46fb2ca56546a8f14f5ef406d815e083">sprite01_attached</a>),
<a name="l00516"></a>00516     .<a class="code" href="classsprite.html#a88a2678be5e5cf8014f8140cacaf95be">ocs_video</a>(<a class="code" href="classocs__video.html#a3a14f08c0e90d8135f6f002eb8defa38">sprite1_color</a>)
<a name="l00517"></a>00517 );
<a name="l00518"></a>00518 
<a name="l00519"></a><a class="code" href="classocs__video.html#a62dd28bd2c45db9f6fc852b4a1bcd067">00519</a> <span class="vhdlkeyword">wire</span> <a class="code" href="classocs__video.html#a62dd28bd2c45db9f6fc852b4a1bcd067">sprite2_write_ena</a>;
<a name="l00520"></a><a class="code" href="classocs__video.html#acf033337a96d61790be1270496f12155">00520</a> <span class="vhdlkeyword">wire</span> <a class="code" href="classocs__video.html#acf033337a96d61790be1270496f12155">sprite2_dma_req</a>;
<a name="l00521"></a><a class="code" href="classocs__video.html#a26aac08e38c95221540d7403a1980969">00521</a> <span class="vhdlkeyword">wire</span> [<span class="vhdllogic">31</span>:<span class="vhdllogic">2</span>] <a class="code" href="classocs__video.html#a26aac08e38c95221540d7403a1980969">sprite2_dma_address</a>;
<a name="l00522"></a>00522 
<a name="l00523"></a>00523 <span class="vhdlkeyword">assign</span> <a class="code" href="classocs__video.html#a62dd28bd2c45db9f6fc852b4a1bcd067">sprite2_write_ena</a> = (<a class="code" href="classocs__video.html#a4d65b462592ed5f9d20fadf214f6d1d3">CYC_I</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classocs__video.html#a00f4550e8894fb96480ef452e1f9fbc5">STB_I</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classocs__video.html#aa06cc40e8e2b738e5aaf6244dbce78f2">WE_I</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classocs__video.html#aa7ff9730372e89b4829d43b16001f0bd">ACK_O</a> == <span class="vhdllogic">1&#39;b0</span> &amp;&amp;
<a name="l00524"></a>00524     ({ <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } == <span class="vhdllogic">9&#39;h128</span> || { <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } == <span class="vhdllogic">9&#39;h150</span> || { <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } == <span class="vhdllogic">9&#39;h154</span>) );
<a name="l00525"></a>00525 
<a name="l00526"></a><a class="code" href="classocs__video.html#a14486cedbf3489b51e18e17eaf77d738">00526</a> <a class="code" href="classocs__video.html#adb4ae54f10f3ea35f7d8a888f0e4930f">sprite</a> <span class="vhdlchar">sprite2_inst</span>(
<a name="l00527"></a>00527     .<a class="code" href="classsprite.html#a42c8010ba50e5336c9a45c55e0316eba">ocs_video</a>(<a class="code" href="classsprite.html#a42c8010ba50e5336c9a45c55e0316eba">ocs_video</a>),
<a name="l00528"></a>00528     .<a class="code" href="classsprite.html#a2ebcd6731afc611a143ae971e657ba77">ocs_video</a>(<a class="code" href="classsprite.html#a2ebcd6731afc611a143ae971e657ba77">ocs_video</a>),
<a name="l00529"></a>00529     
<a name="l00530"></a>00530     .<a class="code" href="classsprite.html#ad4163c8d864a8e3b9cb38892bd2f8335">ocs_video</a>(<a class="code" href="classsprite.html#ad4163c8d864a8e3b9cb38892bd2f8335">ocs_video</a>),
<a name="l00531"></a>00531     .<a class="code" href="classsprite.html#aa539ca91a61a30ad96248f5cf99148e2">ocs_video</a>(<a class="code" href="classsprite.html#aa539ca91a61a30ad96248f5cf99148e2">ocs_video</a>),
<a name="l00532"></a>00532     .<a class="code" href="classsprite.html#a5c6a30c2697339de6c2d50856ae112e2">ocs_video</a>(<a class="code" href="classsprite.html#a5c6a30c2697339de6c2d50856ae112e2">ocs_video</a>),
<a name="l00533"></a>00533     
<a name="l00534"></a>00534     .<a class="code" href="classsprite.html#a3a7679be423fbf3f83c3fe5b30ef742f">ocs_video</a>(<a class="code" href="classocs__video.html#a156ca6647b7238572df1f1c8e96d425c">dma_con</a>[<span class="vhdllogic">9</span>] == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classocs__video.html#a156ca6647b7238572df1f1c8e96d425c">dma_con</a>[<span class="vhdllogic">5</span>] == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classocs__video.html#a8a66e9ab9537f7e86cac52a83eda1842">disabled_sprites</a>[<span class="vhdllogic">2</span>] == <span class="vhdllogic">1&#39;b0</span>),
<a name="l00535"></a>00535     .<a class="code" href="classsprite.html#a413d2813585f09309d46232998c19f85">ocs_video</a>(<a class="code" href="classocs__video.html#acf033337a96d61790be1270496f12155">sprite2_dma_req</a>),
<a name="l00536"></a>00536     .<a class="code" href="classsprite.html#a5ce50869414c1c126080730a645b673f">ocs_video</a>(<a class="code" href="classocs__video.html#a26aac08e38c95221540d7403a1980969">sprite2_dma_address</a>),
<a name="l00537"></a>00537     .<a class="code" href="classsprite.html#ad220124fb82cdfac42b27e643f0ed125">ocs_video</a>(<a class="code" href="classocs__video.html#a9c8c5d8ed7b7e855e644c85b68a0d6fe">dma_select</a> == <span class="vhdllogic">4&#39;d3</span> &amp;&amp; <a class="code" href="classocs__video.html#a8324b684d196a39e23bade6d00d841f4">ACK_I</a> == <span class="vhdllogic">1&#39;b1</span>),
<a name="l00538"></a>00538     .<a class="code" href="classsprite.html#a3bf0ca2d8608176da76b9f5c0484176b">ocs_video</a>(<a class="code" href="classocs__video.html#a4729454a7d3dd9d2cca875b6713618f4">master_DAT_I</a>),
<a name="l00539"></a>00539     
<a name="l00540"></a>00540     .<a class="code" href="classsprite.html#a8a85308a8c349a19336877c957b55f99">ocs_video</a>(<a class="code" href="classocs__video.html#a62dd28bd2c45db9f6fc852b4a1bcd067">sprite2_write_ena</a>),
<a name="l00541"></a>00541     <span class="keyword">// 0:   SPR0PTH,    SPR0PTL,</span>
<a name="l00542"></a>00542     <span class="keyword">// 1:   SPR0POS,    SPR0CTL,</span>
<a name="l00543"></a>00543     <span class="keyword">// 2:   SPR0DATA,   SPR0DATB,</span>
<a name="l00544"></a>00544     .<a class="code" href="classsprite.html#ad33d6e9590fc9b73d5a92aab8bd68276">ocs_video</a>(
<a name="l00545"></a>00545         ({ <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } == <span class="vhdllogic">9&#39;h128</span>) ? <span class="vhdllogic">2&#39;d0</span> :
<a name="l00546"></a>00546         ({ <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } == <span class="vhdllogic">9&#39;h150</span>) ? <span class="vhdllogic">2&#39;d1</span> :
<a name="l00547"></a>00547         <span class="vhdllogic">2&#39;d2</span>
<a name="l00548"></a>00548     ),
<a name="l00549"></a>00549     .<a class="code" href="classsprite.html#af1edfe6e9df5bd185928d5aff0e07638">ocs_video</a>(<a class="code" href="classocs__video.html#aa47c1502e477666dbd1c979f52af8955">slave_DAT_I</a>),
<a name="l00550"></a>00550     .<a class="code" href="classsprite.html#a5a9c4d9a17ab708fa90ddeb53ea0dd11">ocs_video</a>(<a class="code" href="classocs__video.html#a86cb14f9723514d9b380eabf36f6ba59">SEL_I</a>),
<a name="l00551"></a>00551     
<a name="l00552"></a>00552     .<a class="code" href="classsprite.html#ae1d6cbb444aa4080db1b37c6fd04617e">ocs_video</a>(),
<a name="l00553"></a>00553     .<a class="code" href="classsprite.html#a88a2678be5e5cf8014f8140cacaf95be">ocs_video</a>(<a class="code" href="classocs__video.html#ae9b62e9795acef38366f5b73d21d2da1">sprite2_color</a>)
<a name="l00554"></a>00554 );
<a name="l00555"></a>00555 
<a name="l00556"></a><a class="code" href="classocs__video.html#a69a7c37f0d3d03e8e59888656f1a3343">00556</a> <span class="vhdlkeyword">wire</span> <a class="code" href="classocs__video.html#a69a7c37f0d3d03e8e59888656f1a3343">sprite3_write_ena</a>;
<a name="l00557"></a><a class="code" href="classocs__video.html#a2c639550e01c8b159c4b878b71bb4c63">00557</a> <span class="vhdlkeyword">wire</span> <a class="code" href="classocs__video.html#a2c639550e01c8b159c4b878b71bb4c63">sprite3_dma_req</a>;
<a name="l00558"></a><a class="code" href="classocs__video.html#aebff27ca789a6f6243e2d51e9327dcf4">00558</a> <span class="vhdlkeyword">wire</span> [<span class="vhdllogic">31</span>:<span class="vhdllogic">2</span>] <a class="code" href="classocs__video.html#aebff27ca789a6f6243e2d51e9327dcf4">sprite3_dma_address</a>;
<a name="l00559"></a>00559 
<a name="l00560"></a>00560 <span class="vhdlkeyword">assign</span> <a class="code" href="classocs__video.html#a69a7c37f0d3d03e8e59888656f1a3343">sprite3_write_ena</a> = (<a class="code" href="classocs__video.html#a4d65b462592ed5f9d20fadf214f6d1d3">CYC_I</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classocs__video.html#a00f4550e8894fb96480ef452e1f9fbc5">STB_I</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classocs__video.html#aa06cc40e8e2b738e5aaf6244dbce78f2">WE_I</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classocs__video.html#aa7ff9730372e89b4829d43b16001f0bd">ACK_O</a> == <span class="vhdllogic">1&#39;b0</span> &amp;&amp;
<a name="l00561"></a>00561     ({ <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } == <span class="vhdllogic">9&#39;h12C</span> || { <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } == <span class="vhdllogic">9&#39;h158</span> || { <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } == <span class="vhdllogic">9&#39;h15C</span>) );
<a name="l00562"></a>00562 
<a name="l00563"></a><a class="code" href="classocs__video.html#ad0a3dc896fa1f081db52c74f1460bb2f">00563</a> <a class="code" href="classocs__video.html#adb4ae54f10f3ea35f7d8a888f0e4930f">sprite</a> <span class="vhdlchar">sprite3_inst</span>(
<a name="l00564"></a>00564     .<a class="code" href="classsprite.html#a42c8010ba50e5336c9a45c55e0316eba">ocs_video</a>(<a class="code" href="classsprite.html#a42c8010ba50e5336c9a45c55e0316eba">ocs_video</a>),
<a name="l00565"></a>00565     .<a class="code" href="classsprite.html#a2ebcd6731afc611a143ae971e657ba77">ocs_video</a>(<a class="code" href="classsprite.html#a2ebcd6731afc611a143ae971e657ba77">ocs_video</a>),
<a name="l00566"></a>00566     
<a name="l00567"></a>00567     .<a class="code" href="classsprite.html#ad4163c8d864a8e3b9cb38892bd2f8335">ocs_video</a>(<a class="code" href="classsprite.html#ad4163c8d864a8e3b9cb38892bd2f8335">ocs_video</a>),
<a name="l00568"></a>00568     .<a class="code" href="classsprite.html#aa539ca91a61a30ad96248f5cf99148e2">ocs_video</a>(<a class="code" href="classsprite.html#aa539ca91a61a30ad96248f5cf99148e2">ocs_video</a>),
<a name="l00569"></a>00569     .<a class="code" href="classsprite.html#a5c6a30c2697339de6c2d50856ae112e2">ocs_video</a>(<a class="code" href="classsprite.html#a5c6a30c2697339de6c2d50856ae112e2">ocs_video</a>),
<a name="l00570"></a>00570     
<a name="l00571"></a>00571     .<a class="code" href="classsprite.html#a3a7679be423fbf3f83c3fe5b30ef742f">ocs_video</a>(<a class="code" href="classocs__video.html#a156ca6647b7238572df1f1c8e96d425c">dma_con</a>[<span class="vhdllogic">9</span>] == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classocs__video.html#a156ca6647b7238572df1f1c8e96d425c">dma_con</a>[<span class="vhdllogic">5</span>] == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classocs__video.html#a8a66e9ab9537f7e86cac52a83eda1842">disabled_sprites</a>[<span class="vhdllogic">3</span>] == <span class="vhdllogic">1&#39;b0</span>),
<a name="l00572"></a>00572     .<a class="code" href="classsprite.html#a413d2813585f09309d46232998c19f85">ocs_video</a>(<a class="code" href="classocs__video.html#a2c639550e01c8b159c4b878b71bb4c63">sprite3_dma_req</a>),
<a name="l00573"></a>00573     .<a class="code" href="classsprite.html#a5ce50869414c1c126080730a645b673f">ocs_video</a>(<a class="code" href="classocs__video.html#aebff27ca789a6f6243e2d51e9327dcf4">sprite3_dma_address</a>),
<a name="l00574"></a>00574     .<a class="code" href="classsprite.html#ad220124fb82cdfac42b27e643f0ed125">ocs_video</a>(<a class="code" href="classocs__video.html#a9c8c5d8ed7b7e855e644c85b68a0d6fe">dma_select</a> == <span class="vhdllogic">4&#39;d4</span> &amp;&amp; <a class="code" href="classocs__video.html#a8324b684d196a39e23bade6d00d841f4">ACK_I</a> == <span class="vhdllogic">1&#39;b1</span>),
<a name="l00575"></a>00575     .<a class="code" href="classsprite.html#a3bf0ca2d8608176da76b9f5c0484176b">ocs_video</a>(<a class="code" href="classocs__video.html#a4729454a7d3dd9d2cca875b6713618f4">master_DAT_I</a>),
<a name="l00576"></a>00576     
<a name="l00577"></a>00577     .<a class="code" href="classsprite.html#a8a85308a8c349a19336877c957b55f99">ocs_video</a>(<a class="code" href="classocs__video.html#a69a7c37f0d3d03e8e59888656f1a3343">sprite3_write_ena</a>),
<a name="l00578"></a>00578     <span class="keyword">// 0:   SPR0PTH,    SPR0PTL,</span>
<a name="l00579"></a>00579     <span class="keyword">// 1:   SPR0POS,    SPR0CTL,</span>
<a name="l00580"></a>00580     <span class="keyword">// 2:   SPR0DATA,   SPR0DATB,</span>
<a name="l00581"></a>00581     .<a class="code" href="classsprite.html#ad33d6e9590fc9b73d5a92aab8bd68276">ocs_video</a>(
<a name="l00582"></a>00582         ({ <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } == <span class="vhdllogic">9&#39;h12C</span>) ? <span class="vhdllogic">2&#39;d0</span> :
<a name="l00583"></a>00583         ({ <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } == <span class="vhdllogic">9&#39;h158</span>) ? <span class="vhdllogic">2&#39;d1</span> :
<a name="l00584"></a>00584         <span class="vhdllogic">2&#39;d2</span>
<a name="l00585"></a>00585     ),
<a name="l00586"></a>00586     .<a class="code" href="classsprite.html#af1edfe6e9df5bd185928d5aff0e07638">ocs_video</a>(<a class="code" href="classocs__video.html#aa47c1502e477666dbd1c979f52af8955">slave_DAT_I</a>),
<a name="l00587"></a>00587     .<a class="code" href="classsprite.html#a5a9c4d9a17ab708fa90ddeb53ea0dd11">ocs_video</a>(<a class="code" href="classocs__video.html#a86cb14f9723514d9b380eabf36f6ba59">SEL_I</a>),
<a name="l00588"></a>00588     
<a name="l00589"></a>00589     .<a class="code" href="classsprite.html#ae1d6cbb444aa4080db1b37c6fd04617e">ocs_video</a>(<a class="code" href="classocs__video.html#a06bd8d029771760cf2bf0f5c1569d61c">sprite23_attached</a>),
<a name="l00590"></a>00590     .<a class="code" href="classsprite.html#a88a2678be5e5cf8014f8140cacaf95be">ocs_video</a>(<a class="code" href="classocs__video.html#a60fb085559bd6685b8d087d0ea1c5d7d">sprite3_color</a>)
<a name="l00591"></a>00591 );
<a name="l00592"></a>00592 
<a name="l00593"></a><a class="code" href="classocs__video.html#aac350ac496ce124e3083104865bc9df0">00593</a> <span class="vhdlkeyword">wire</span> <a class="code" href="classocs__video.html#aac350ac496ce124e3083104865bc9df0">sprite4_write_ena</a>;
<a name="l00594"></a><a class="code" href="classocs__video.html#a40d55453e90db461a73186967c5e6a3b">00594</a> <span class="vhdlkeyword">wire</span> <a class="code" href="classocs__video.html#a40d55453e90db461a73186967c5e6a3b">sprite4_dma_req</a>;
<a name="l00595"></a><a class="code" href="classocs__video.html#a7640e591cc4558e14a667dd9e7474f26">00595</a> <span class="vhdlkeyword">wire</span> [<span class="vhdllogic">31</span>:<span class="vhdllogic">2</span>] <a class="code" href="classocs__video.html#a7640e591cc4558e14a667dd9e7474f26">sprite4_dma_address</a>;
<a name="l00596"></a>00596 
<a name="l00597"></a>00597 <span class="vhdlkeyword">assign</span> <a class="code" href="classocs__video.html#aac350ac496ce124e3083104865bc9df0">sprite4_write_ena</a> = (<a class="code" href="classocs__video.html#a4d65b462592ed5f9d20fadf214f6d1d3">CYC_I</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classocs__video.html#a00f4550e8894fb96480ef452e1f9fbc5">STB_I</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classocs__video.html#aa06cc40e8e2b738e5aaf6244dbce78f2">WE_I</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classocs__video.html#aa7ff9730372e89b4829d43b16001f0bd">ACK_O</a> == <span class="vhdllogic">1&#39;b0</span> &amp;&amp;
<a name="l00598"></a>00598     ({ <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } == <span class="vhdllogic">9&#39;h130</span> || { <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } == <span class="vhdllogic">9&#39;h160</span> || { <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } == <span class="vhdllogic">9&#39;h164</span>) );
<a name="l00599"></a>00599 
<a name="l00600"></a><a class="code" href="classocs__video.html#ad4cd48e7696afe6d36ff3c05f5bd4a26">00600</a> <a class="code" href="classocs__video.html#adb4ae54f10f3ea35f7d8a888f0e4930f">sprite</a> <span class="vhdlchar">sprite4_inst</span>(
<a name="l00601"></a>00601     .<a class="code" href="classsprite.html#a42c8010ba50e5336c9a45c55e0316eba">ocs_video</a>(<a class="code" href="classsprite.html#a42c8010ba50e5336c9a45c55e0316eba">ocs_video</a>),
<a name="l00602"></a>00602     .<a class="code" href="classsprite.html#a2ebcd6731afc611a143ae971e657ba77">ocs_video</a>(<a class="code" href="classsprite.html#a2ebcd6731afc611a143ae971e657ba77">ocs_video</a>),
<a name="l00603"></a>00603     
<a name="l00604"></a>00604     .<a class="code" href="classsprite.html#ad4163c8d864a8e3b9cb38892bd2f8335">ocs_video</a>(<a class="code" href="classsprite.html#ad4163c8d864a8e3b9cb38892bd2f8335">ocs_video</a>),
<a name="l00605"></a>00605     .<a class="code" href="classsprite.html#aa539ca91a61a30ad96248f5cf99148e2">ocs_video</a>(<a class="code" href="classsprite.html#aa539ca91a61a30ad96248f5cf99148e2">ocs_video</a>),
<a name="l00606"></a>00606     .<a class="code" href="classsprite.html#a5c6a30c2697339de6c2d50856ae112e2">ocs_video</a>(<a class="code" href="classsprite.html#a5c6a30c2697339de6c2d50856ae112e2">ocs_video</a>),
<a name="l00607"></a>00607     
<a name="l00608"></a>00608     .<a class="code" href="classsprite.html#a3a7679be423fbf3f83c3fe5b30ef742f">ocs_video</a>(<a class="code" href="classocs__video.html#a156ca6647b7238572df1f1c8e96d425c">dma_con</a>[<span class="vhdllogic">9</span>] == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classocs__video.html#a156ca6647b7238572df1f1c8e96d425c">dma_con</a>[<span class="vhdllogic">5</span>] == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classocs__video.html#a8a66e9ab9537f7e86cac52a83eda1842">disabled_sprites</a>[<span class="vhdllogic">4</span>] == <span class="vhdllogic">1&#39;b0</span>),
<a name="l00609"></a>00609     .<a class="code" href="classsprite.html#a413d2813585f09309d46232998c19f85">ocs_video</a>(<a class="code" href="classocs__video.html#a40d55453e90db461a73186967c5e6a3b">sprite4_dma_req</a>),
<a name="l00610"></a>00610     .<a class="code" href="classsprite.html#a5ce50869414c1c126080730a645b673f">ocs_video</a>(<a class="code" href="classocs__video.html#a7640e591cc4558e14a667dd9e7474f26">sprite4_dma_address</a>),
<a name="l00611"></a>00611     .<a class="code" href="classsprite.html#ad220124fb82cdfac42b27e643f0ed125">ocs_video</a>(<a class="code" href="classocs__video.html#a9c8c5d8ed7b7e855e644c85b68a0d6fe">dma_select</a> == <span class="vhdllogic">4&#39;d5</span> &amp;&amp; <a class="code" href="classocs__video.html#a8324b684d196a39e23bade6d00d841f4">ACK_I</a> == <span class="vhdllogic">1&#39;b1</span>),
<a name="l00612"></a>00612     .<a class="code" href="classsprite.html#a3bf0ca2d8608176da76b9f5c0484176b">ocs_video</a>(<a class="code" href="classocs__video.html#a4729454a7d3dd9d2cca875b6713618f4">master_DAT_I</a>),
<a name="l00613"></a>00613     
<a name="l00614"></a>00614     .<a class="code" href="classsprite.html#a8a85308a8c349a19336877c957b55f99">ocs_video</a>(<a class="code" href="classocs__video.html#aac350ac496ce124e3083104865bc9df0">sprite4_write_ena</a>),
<a name="l00615"></a>00615     <span class="keyword">// 0:   SPR0PTH,    SPR0PTL,</span>
<a name="l00616"></a>00616     <span class="keyword">// 1:   SPR0POS,    SPR0CTL,</span>
<a name="l00617"></a>00617     <span class="keyword">// 2:   SPR0DATA,   SPR0DATB,</span>
<a name="l00618"></a>00618     .<a class="code" href="classsprite.html#ad33d6e9590fc9b73d5a92aab8bd68276">ocs_video</a>(
<a name="l00619"></a>00619         ({ <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } == <span class="vhdllogic">9&#39;h130</span>) ? <span class="vhdllogic">2&#39;d0</span> :
<a name="l00620"></a>00620         ({ <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } == <span class="vhdllogic">9&#39;h160</span>) ? <span class="vhdllogic">2&#39;d1</span> :
<a name="l00621"></a>00621         <span class="vhdllogic">2&#39;d2</span>
<a name="l00622"></a>00622     ),
<a name="l00623"></a>00623     .<a class="code" href="classsprite.html#af1edfe6e9df5bd185928d5aff0e07638">ocs_video</a>(<a class="code" href="classocs__video.html#aa47c1502e477666dbd1c979f52af8955">slave_DAT_I</a>),
<a name="l00624"></a>00624     .<a class="code" href="classsprite.html#a5a9c4d9a17ab708fa90ddeb53ea0dd11">ocs_video</a>(<a class="code" href="classocs__video.html#a86cb14f9723514d9b380eabf36f6ba59">SEL_I</a>),
<a name="l00625"></a>00625     
<a name="l00626"></a>00626     .<a class="code" href="classsprite.html#ae1d6cbb444aa4080db1b37c6fd04617e">ocs_video</a>(),
<a name="l00627"></a>00627     .<a class="code" href="classsprite.html#a88a2678be5e5cf8014f8140cacaf95be">ocs_video</a>(<a class="code" href="classocs__video.html#a68b4f47f981ad2f2f21519573192dc8f">sprite4_color</a>)
<a name="l00628"></a>00628 );
<a name="l00629"></a>00629 
<a name="l00630"></a><a class="code" href="classocs__video.html#a7d348ad523e53228525397d5ff24bdb8">00630</a> <span class="vhdlkeyword">wire</span> <a class="code" href="classocs__video.html#a7d348ad523e53228525397d5ff24bdb8">sprite5_write_ena</a>;
<a name="l00631"></a><a class="code" href="classocs__video.html#a662678b2d3dba9c1e662ab1a90025ab4">00631</a> <span class="vhdlkeyword">wire</span> <a class="code" href="classocs__video.html#a662678b2d3dba9c1e662ab1a90025ab4">sprite5_dma_req</a>;
<a name="l00632"></a><a class="code" href="classocs__video.html#a8017544b2c5c44a586d9ab06d588720e">00632</a> <span class="vhdlkeyword">wire</span> [<span class="vhdllogic">31</span>:<span class="vhdllogic">2</span>] <a class="code" href="classocs__video.html#a8017544b2c5c44a586d9ab06d588720e">sprite5_dma_address</a>;
<a name="l00633"></a>00633 
<a name="l00634"></a>00634 <span class="vhdlkeyword">assign</span> <a class="code" href="classocs__video.html#a7d348ad523e53228525397d5ff24bdb8">sprite5_write_ena</a> = (<a class="code" href="classocs__video.html#a4d65b462592ed5f9d20fadf214f6d1d3">CYC_I</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classocs__video.html#a00f4550e8894fb96480ef452e1f9fbc5">STB_I</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classocs__video.html#aa06cc40e8e2b738e5aaf6244dbce78f2">WE_I</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classocs__video.html#aa7ff9730372e89b4829d43b16001f0bd">ACK_O</a> == <span class="vhdllogic">1&#39;b0</span> &amp;&amp;
<a name="l00635"></a>00635     ({ <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } == <span class="vhdllogic">9&#39;h134</span> || { <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } == <span class="vhdllogic">9&#39;h168</span> || { <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } == <span class="vhdllogic">9&#39;h16C</span>) );
<a name="l00636"></a>00636 
<a name="l00637"></a><a class="code" href="classocs__video.html#a225eeb90374ab73f3c037bc24d6401e5">00637</a> <a class="code" href="classocs__video.html#adb4ae54f10f3ea35f7d8a888f0e4930f">sprite</a> <span class="vhdlchar">sprite5_inst</span>(
<a name="l00638"></a>00638     .<a class="code" href="classsprite.html#a42c8010ba50e5336c9a45c55e0316eba">ocs_video</a>(<a class="code" href="classsprite.html#a42c8010ba50e5336c9a45c55e0316eba">ocs_video</a>),
<a name="l00639"></a>00639     .<a class="code" href="classsprite.html#a2ebcd6731afc611a143ae971e657ba77">ocs_video</a>(<a class="code" href="classsprite.html#a2ebcd6731afc611a143ae971e657ba77">ocs_video</a>),
<a name="l00640"></a>00640     
<a name="l00641"></a>00641     .<a class="code" href="classsprite.html#ad4163c8d864a8e3b9cb38892bd2f8335">ocs_video</a>(<a class="code" href="classsprite.html#ad4163c8d864a8e3b9cb38892bd2f8335">ocs_video</a>),
<a name="l00642"></a>00642     .<a class="code" href="classsprite.html#aa539ca91a61a30ad96248f5cf99148e2">ocs_video</a>(<a class="code" href="classsprite.html#aa539ca91a61a30ad96248f5cf99148e2">ocs_video</a>),
<a name="l00643"></a>00643     .<a class="code" href="classsprite.html#a5c6a30c2697339de6c2d50856ae112e2">ocs_video</a>(<a class="code" href="classsprite.html#a5c6a30c2697339de6c2d50856ae112e2">ocs_video</a>),
<a name="l00644"></a>00644     
<a name="l00645"></a>00645     .<a class="code" href="classsprite.html#a3a7679be423fbf3f83c3fe5b30ef742f">ocs_video</a>(<a class="code" href="classocs__video.html#a156ca6647b7238572df1f1c8e96d425c">dma_con</a>[<span class="vhdllogic">9</span>] == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classocs__video.html#a156ca6647b7238572df1f1c8e96d425c">dma_con</a>[<span class="vhdllogic">5</span>] == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classocs__video.html#a8a66e9ab9537f7e86cac52a83eda1842">disabled_sprites</a>[<span class="vhdllogic">5</span>] == <span class="vhdllogic">1&#39;b0</span>),
<a name="l00646"></a>00646     .<a class="code" href="classsprite.html#a413d2813585f09309d46232998c19f85">ocs_video</a>(<a class="code" href="classocs__video.html#a662678b2d3dba9c1e662ab1a90025ab4">sprite5_dma_req</a>),
<a name="l00647"></a>00647     .<a class="code" href="classsprite.html#a5ce50869414c1c126080730a645b673f">ocs_video</a>(<a class="code" href="classocs__video.html#a8017544b2c5c44a586d9ab06d588720e">sprite5_dma_address</a>),
<a name="l00648"></a>00648     .<a class="code" href="classsprite.html#ad220124fb82cdfac42b27e643f0ed125">ocs_video</a>(<a class="code" href="classocs__video.html#a9c8c5d8ed7b7e855e644c85b68a0d6fe">dma_select</a> == <span class="vhdllogic">4&#39;d6</span> &amp;&amp; <a class="code" href="classocs__video.html#a8324b684d196a39e23bade6d00d841f4">ACK_I</a> == <span class="vhdllogic">1&#39;b1</span>),
<a name="l00649"></a>00649     .<a class="code" href="classsprite.html#a3bf0ca2d8608176da76b9f5c0484176b">ocs_video</a>(<a class="code" href="classocs__video.html#a4729454a7d3dd9d2cca875b6713618f4">master_DAT_I</a>),
<a name="l00650"></a>00650     
<a name="l00651"></a>00651     .<a class="code" href="classsprite.html#a8a85308a8c349a19336877c957b55f99">ocs_video</a>(<a class="code" href="classocs__video.html#a7d348ad523e53228525397d5ff24bdb8">sprite5_write_ena</a>),
<a name="l00652"></a>00652     <span class="keyword">// 0:   SPR0PTH,    SPR0PTL,</span>
<a name="l00653"></a>00653     <span class="keyword">// 1:   SPR0POS,    SPR0CTL,</span>
<a name="l00654"></a>00654     <span class="keyword">// 2:   SPR0DATA,   SPR0DATB,</span>
<a name="l00655"></a>00655     .<a class="code" href="classsprite.html#ad33d6e9590fc9b73d5a92aab8bd68276">ocs_video</a>(
<a name="l00656"></a>00656         ({ <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } == <span class="vhdllogic">9&#39;h134</span>) ? <span class="vhdllogic">2&#39;d0</span> :
<a name="l00657"></a>00657         ({ <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } == <span class="vhdllogic">9&#39;h168</span>) ? <span class="vhdllogic">2&#39;d1</span> :
<a name="l00658"></a>00658         <span class="vhdllogic">2&#39;d2</span>
<a name="l00659"></a>00659     ),
<a name="l00660"></a>00660     .<a class="code" href="classsprite.html#af1edfe6e9df5bd185928d5aff0e07638">ocs_video</a>(<a class="code" href="classocs__video.html#aa47c1502e477666dbd1c979f52af8955">slave_DAT_I</a>),
<a name="l00661"></a>00661     .<a class="code" href="classsprite.html#a5a9c4d9a17ab708fa90ddeb53ea0dd11">ocs_video</a>(<a class="code" href="classocs__video.html#a86cb14f9723514d9b380eabf36f6ba59">SEL_I</a>),
<a name="l00662"></a>00662     
<a name="l00663"></a>00663     .<a class="code" href="classsprite.html#ae1d6cbb444aa4080db1b37c6fd04617e">ocs_video</a>(<a class="code" href="classocs__video.html#a929427925513d53765dd3eb7505d3f6e">sprite45_attached</a>),
<a name="l00664"></a>00664     .<a class="code" href="classsprite.html#a88a2678be5e5cf8014f8140cacaf95be">ocs_video</a>(<a class="code" href="classocs__video.html#aec9583b638a5ac1704d5f787d2ea89d4">sprite5_color</a>)
<a name="l00665"></a>00665 );
<a name="l00666"></a>00666 
<a name="l00667"></a><a class="code" href="classocs__video.html#ae0e7c38fbe677af4d7f995b929b2e83c">00667</a> <span class="vhdlkeyword">wire</span> <a class="code" href="classocs__video.html#ae0e7c38fbe677af4d7f995b929b2e83c">sprite6_write_ena</a>;
<a name="l00668"></a><a class="code" href="classocs__video.html#ae4e4427a91e6a1abf1fbd63906a30166">00668</a> <span class="vhdlkeyword">wire</span> <a class="code" href="classocs__video.html#ae4e4427a91e6a1abf1fbd63906a30166">sprite6_dma_req</a>;
<a name="l00669"></a><a class="code" href="classocs__video.html#ae52d8b3eed70c3bf7fc458a7d70632a3">00669</a> <span class="vhdlkeyword">wire</span> [<span class="vhdllogic">31</span>:<span class="vhdllogic">2</span>] <a class="code" href="classocs__video.html#ae52d8b3eed70c3bf7fc458a7d70632a3">sprite6_dma_address</a>;
<a name="l00670"></a>00670 
<a name="l00671"></a>00671 <span class="vhdlkeyword">assign</span> <a class="code" href="classocs__video.html#ae0e7c38fbe677af4d7f995b929b2e83c">sprite6_write_ena</a> = (<a class="code" href="classocs__video.html#a4d65b462592ed5f9d20fadf214f6d1d3">CYC_I</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classocs__video.html#a00f4550e8894fb96480ef452e1f9fbc5">STB_I</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classocs__video.html#aa06cc40e8e2b738e5aaf6244dbce78f2">WE_I</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classocs__video.html#aa7ff9730372e89b4829d43b16001f0bd">ACK_O</a> == <span class="vhdllogic">1&#39;b0</span> &amp;&amp;
<a name="l00672"></a>00672     ({ <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } == <span class="vhdllogic">9&#39;h138</span> || { <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } == <span class="vhdllogic">9&#39;h170</span> || { <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } == <span class="vhdllogic">9&#39;h174</span>) );
<a name="l00673"></a>00673 
<a name="l00674"></a><a class="code" href="classocs__video.html#a57160b62657c48ab5f87a7fef9145d80">00674</a> <a class="code" href="classocs__video.html#adb4ae54f10f3ea35f7d8a888f0e4930f">sprite</a> <span class="vhdlchar">sprite6_inst</span>(
<a name="l00675"></a>00675     .<a class="code" href="classsprite.html#a42c8010ba50e5336c9a45c55e0316eba">ocs_video</a>(<a class="code" href="classsprite.html#a42c8010ba50e5336c9a45c55e0316eba">ocs_video</a>),
<a name="l00676"></a>00676     .<a class="code" href="classsprite.html#a2ebcd6731afc611a143ae971e657ba77">ocs_video</a>(<a class="code" href="classsprite.html#a2ebcd6731afc611a143ae971e657ba77">ocs_video</a>),
<a name="l00677"></a>00677     
<a name="l00678"></a>00678     .<a class="code" href="classsprite.html#ad4163c8d864a8e3b9cb38892bd2f8335">ocs_video</a>(<a class="code" href="classsprite.html#ad4163c8d864a8e3b9cb38892bd2f8335">ocs_video</a>),
<a name="l00679"></a>00679     .<a class="code" href="classsprite.html#aa539ca91a61a30ad96248f5cf99148e2">ocs_video</a>(<a class="code" href="classsprite.html#aa539ca91a61a30ad96248f5cf99148e2">ocs_video</a>),
<a name="l00680"></a>00680     .<a class="code" href="classsprite.html#a5c6a30c2697339de6c2d50856ae112e2">ocs_video</a>(<a class="code" href="classsprite.html#a5c6a30c2697339de6c2d50856ae112e2">ocs_video</a>),
<a name="l00681"></a>00681     
<a name="l00682"></a>00682     .<a class="code" href="classsprite.html#a3a7679be423fbf3f83c3fe5b30ef742f">ocs_video</a>(<a class="code" href="classocs__video.html#a156ca6647b7238572df1f1c8e96d425c">dma_con</a>[<span class="vhdllogic">9</span>] == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classocs__video.html#a156ca6647b7238572df1f1c8e96d425c">dma_con</a>[<span class="vhdllogic">5</span>] == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classocs__video.html#a8a66e9ab9537f7e86cac52a83eda1842">disabled_sprites</a>[<span class="vhdllogic">6</span>] == <span class="vhdllogic">1&#39;b0</span>),
<a name="l00683"></a>00683     .<a class="code" href="classsprite.html#a413d2813585f09309d46232998c19f85">ocs_video</a>(<a class="code" href="classocs__video.html#ae4e4427a91e6a1abf1fbd63906a30166">sprite6_dma_req</a>),
<a name="l00684"></a>00684     .<a class="code" href="classsprite.html#a5ce50869414c1c126080730a645b673f">ocs_video</a>(<a class="code" href="classocs__video.html#ae52d8b3eed70c3bf7fc458a7d70632a3">sprite6_dma_address</a>),
<a name="l00685"></a>00685     .<a class="code" href="classsprite.html#ad220124fb82cdfac42b27e643f0ed125">ocs_video</a>(<a class="code" href="classocs__video.html#a9c8c5d8ed7b7e855e644c85b68a0d6fe">dma_select</a> == <span class="vhdllogic">4&#39;d7</span> &amp;&amp; <a class="code" href="classocs__video.html#a8324b684d196a39e23bade6d00d841f4">ACK_I</a> == <span class="vhdllogic">1&#39;b1</span>),
<a name="l00686"></a>00686     .<a class="code" href="classsprite.html#a3bf0ca2d8608176da76b9f5c0484176b">ocs_video</a>(<a class="code" href="classocs__video.html#a4729454a7d3dd9d2cca875b6713618f4">master_DAT_I</a>),
<a name="l00687"></a>00687     
<a name="l00688"></a>00688     .<a class="code" href="classsprite.html#a8a85308a8c349a19336877c957b55f99">ocs_video</a>(<a class="code" href="classocs__video.html#ae0e7c38fbe677af4d7f995b929b2e83c">sprite6_write_ena</a>),
<a name="l00689"></a>00689     <span class="keyword">// 0:   SPR0PTH,    SPR0PTL,</span>
<a name="l00690"></a>00690     <span class="keyword">// 1:   SPR0POS,    SPR0CTL,</span>
<a name="l00691"></a>00691     <span class="keyword">// 2:   SPR0DATA,   SPR0DATB,</span>
<a name="l00692"></a>00692     .<a class="code" href="classsprite.html#ad33d6e9590fc9b73d5a92aab8bd68276">ocs_video</a>(
<a name="l00693"></a>00693         ({ <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } == <span class="vhdllogic">9&#39;h138</span>) ? <span class="vhdllogic">2&#39;d0</span> :
<a name="l00694"></a>00694         ({ <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } == <span class="vhdllogic">9&#39;h170</span>) ? <span class="vhdllogic">2&#39;d1</span> :
<a name="l00695"></a>00695         <span class="vhdllogic">2&#39;d2</span>
<a name="l00696"></a>00696     ),
<a name="l00697"></a>00697     .<a class="code" href="classsprite.html#af1edfe6e9df5bd185928d5aff0e07638">ocs_video</a>(<a class="code" href="classocs__video.html#aa47c1502e477666dbd1c979f52af8955">slave_DAT_I</a>),
<a name="l00698"></a>00698     .<a class="code" href="classsprite.html#a5a9c4d9a17ab708fa90ddeb53ea0dd11">ocs_video</a>(<a class="code" href="classocs__video.html#a86cb14f9723514d9b380eabf36f6ba59">SEL_I</a>),
<a name="l00699"></a>00699     
<a name="l00700"></a>00700     .<a class="code" href="classsprite.html#ae1d6cbb444aa4080db1b37c6fd04617e">ocs_video</a>(),
<a name="l00701"></a>00701     .<a class="code" href="classsprite.html#a88a2678be5e5cf8014f8140cacaf95be">ocs_video</a>(<a class="code" href="classocs__video.html#af883f91b4dbdc51d6cad9b6f9122e53d">sprite6_color</a>)
<a name="l00702"></a>00702 );
<a name="l00703"></a>00703 
<a name="l00704"></a><a class="code" href="classocs__video.html#abbc1b9e164242b0f14ac1dbbbec485db">00704</a> <span class="vhdlkeyword">wire</span> <a class="code" href="classocs__video.html#abbc1b9e164242b0f14ac1dbbbec485db">sprite7_write_ena</a>;
<a name="l00705"></a><a class="code" href="classocs__video.html#aeb8839f0158bf66accfbe4166cb09947">00705</a> <span class="vhdlkeyword">wire</span> <a class="code" href="classocs__video.html#aeb8839f0158bf66accfbe4166cb09947">sprite7_dma_req</a>;
<a name="l00706"></a><a class="code" href="classocs__video.html#a0640d5f75423a32fdf23220315a10ed1">00706</a> <span class="vhdlkeyword">wire</span> [<span class="vhdllogic">31</span>:<span class="vhdllogic">2</span>] <a class="code" href="classocs__video.html#a0640d5f75423a32fdf23220315a10ed1">sprite7_dma_address</a>;
<a name="l00707"></a>00707 
<a name="l00708"></a>00708 <span class="vhdlkeyword">assign</span> <a class="code" href="classocs__video.html#abbc1b9e164242b0f14ac1dbbbec485db">sprite7_write_ena</a> = (<a class="code" href="classocs__video.html#a4d65b462592ed5f9d20fadf214f6d1d3">CYC_I</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classocs__video.html#a00f4550e8894fb96480ef452e1f9fbc5">STB_I</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classocs__video.html#aa06cc40e8e2b738e5aaf6244dbce78f2">WE_I</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classocs__video.html#aa7ff9730372e89b4829d43b16001f0bd">ACK_O</a> == <span class="vhdllogic">1&#39;b0</span> &amp;&amp;
<a name="l00709"></a>00709     ({ <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } == <span class="vhdllogic">9&#39;h13C</span> || { <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } == <span class="vhdllogic">9&#39;h178</span> || { <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } == <span class="vhdllogic">9&#39;h17C</span>) );
<a name="l00710"></a>00710 
<a name="l00711"></a><a class="code" href="classocs__video.html#adb4ae54f10f3ea35f7d8a888f0e4930f">00711</a> <a class="code" href="classocs__video.html#adb4ae54f10f3ea35f7d8a888f0e4930f">sprite</a> <span class="vhdlchar">sprite7_inst</span>(
<a name="l00712"></a>00712     .<a class="code" href="classsprite.html#a42c8010ba50e5336c9a45c55e0316eba">ocs_video</a>(<a class="code" href="classsprite.html#a42c8010ba50e5336c9a45c55e0316eba">ocs_video</a>),
<a name="l00713"></a>00713     .<a class="code" href="classsprite.html#a2ebcd6731afc611a143ae971e657ba77">ocs_video</a>(<a class="code" href="classsprite.html#a2ebcd6731afc611a143ae971e657ba77">ocs_video</a>),
<a name="l00714"></a>00714     
<a name="l00715"></a>00715     .<a class="code" href="classsprite.html#ad4163c8d864a8e3b9cb38892bd2f8335">ocs_video</a>(<a class="code" href="classsprite.html#ad4163c8d864a8e3b9cb38892bd2f8335">ocs_video</a>),
<a name="l00716"></a>00716     .<a class="code" href="classsprite.html#aa539ca91a61a30ad96248f5cf99148e2">ocs_video</a>(<a class="code" href="classsprite.html#aa539ca91a61a30ad96248f5cf99148e2">ocs_video</a>),
<a name="l00717"></a>00717     .<a class="code" href="classsprite.html#a5c6a30c2697339de6c2d50856ae112e2">ocs_video</a>(<a class="code" href="classsprite.html#a5c6a30c2697339de6c2d50856ae112e2">ocs_video</a>),
<a name="l00718"></a>00718     
<a name="l00719"></a>00719     .<a class="code" href="classsprite.html#a3a7679be423fbf3f83c3fe5b30ef742f">ocs_video</a>(<a class="code" href="classocs__video.html#a156ca6647b7238572df1f1c8e96d425c">dma_con</a>[<span class="vhdllogic">9</span>] == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classocs__video.html#a156ca6647b7238572df1f1c8e96d425c">dma_con</a>[<span class="vhdllogic">5</span>] == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classocs__video.html#a8a66e9ab9537f7e86cac52a83eda1842">disabled_sprites</a>[<span class="vhdllogic">7</span>] == <span class="vhdllogic">1&#39;b0</span>),
<a name="l00720"></a>00720     .<a class="code" href="classsprite.html#a413d2813585f09309d46232998c19f85">ocs_video</a>(<a class="code" href="classocs__video.html#aeb8839f0158bf66accfbe4166cb09947">sprite7_dma_req</a>),
<a name="l00721"></a>00721     .<a class="code" href="classsprite.html#a5ce50869414c1c126080730a645b673f">ocs_video</a>(<a class="code" href="classocs__video.html#a0640d5f75423a32fdf23220315a10ed1">sprite7_dma_address</a>),
<a name="l00722"></a>00722     .<a class="code" href="classsprite.html#ad220124fb82cdfac42b27e643f0ed125">ocs_video</a>(<a class="code" href="classocs__video.html#a9c8c5d8ed7b7e855e644c85b68a0d6fe">dma_select</a> == <span class="vhdllogic">4&#39;d8</span> &amp;&amp; <a class="code" href="classocs__video.html#a8324b684d196a39e23bade6d00d841f4">ACK_I</a> == <span class="vhdllogic">1&#39;b1</span>),
<a name="l00723"></a>00723     .<a class="code" href="classsprite.html#a3bf0ca2d8608176da76b9f5c0484176b">ocs_video</a>(<a class="code" href="classocs__video.html#a4729454a7d3dd9d2cca875b6713618f4">master_DAT_I</a>),
<a name="l00724"></a>00724     
<a name="l00725"></a>00725     .<a class="code" href="classsprite.html#a8a85308a8c349a19336877c957b55f99">ocs_video</a>(<a class="code" href="classocs__video.html#abbc1b9e164242b0f14ac1dbbbec485db">sprite7_write_ena</a>),
<a name="l00726"></a>00726     <span class="keyword">// 0:   SPR0PTH,    SPR0PTL,</span>
<a name="l00727"></a>00727     <span class="keyword">// 1:   SPR0POS,    SPR0CTL,</span>
<a name="l00728"></a>00728     <span class="keyword">// 2:   SPR0DATA,   SPR0DATB,</span>
<a name="l00729"></a>00729     .<a class="code" href="classsprite.html#ad33d6e9590fc9b73d5a92aab8bd68276">ocs_video</a>(
<a name="l00730"></a>00730         ({ <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } == <span class="vhdllogic">9&#39;h13C</span>) ? <span class="vhdllogic">2&#39;d0</span> :
<a name="l00731"></a>00731         ({ <a class="code" href="classocs__video.html#a89d0a4b9d7c26632f01b000274a47ad3">ADR_I</a>, <span class="vhdllogic">2&#39;b0</span> } == <span class="vhdllogic">9&#39;h178</span>) ? <span class="vhdllogic">2&#39;d1</span> :
<a name="l00732"></a>00732         <span class="vhdllogic">2&#39;d2</span>
<a name="l00733"></a>00733     ),
<a name="l00734"></a>00734     .<a class="code" href="classsprite.html#af1edfe6e9df5bd185928d5aff0e07638">ocs_video</a>(<a class="code" href="classocs__video.html#aa47c1502e477666dbd1c979f52af8955">slave_DAT_I</a>),
<a name="l00735"></a>00735     .<a class="code" href="classsprite.html#a5a9c4d9a17ab708fa90ddeb53ea0dd11">ocs_video</a>(<a class="code" href="classocs__video.html#a86cb14f9723514d9b380eabf36f6ba59">SEL_I</a>),
<a name="l00736"></a>00736     
<a name="l00737"></a>00737     .<a class="code" href="classsprite.html#ae1d6cbb444aa4080db1b37c6fd04617e">ocs_video</a>(<a class="code" href="classocs__video.html#afba19e024628e5d23a731ac1e3babfab">sprite67_attached</a>),
<a name="l00738"></a>00738     .<a class="code" href="classsprite.html#a88a2678be5e5cf8014f8140cacaf95be">ocs_video</a>(<a class="code" href="classocs__video.html#a39c4177e584a3fdbdf8b4389fe402d6e">sprite7_color</a>)
<a name="l00739"></a>00739 );
<a name="l00740"></a>00740 
<a name="l00741"></a><a class="code" href="classocs__video.html#a958e0c5a350d1378ea5b6f1467347dc8">00741</a> <span class="vhdlkeyword">always</span> @(<span class="vhdlkeyword">posedge</span> <a class="code" href="classocs__video.html#a123db8dac22e5d320b50ce98f89e8a72">CLK_I</a> <span class="vhdlkeyword">or</span> <span class="vhdlkeyword">negedge</span> <a class="code" href="classocs__video.html#af1352f81d94a9dca2fc64cc10b98a2d0">reset_n</a>) <span class="vhdlkeyword">begin</span>
<a name="l00742"></a>00742     <span class="vhdlkeyword">if</span>(<a class="code" href="classocs__video.html#af1352f81d94a9dca2fc64cc10b98a2d0">reset_n</a> == <span class="vhdllogic">1&#39;b0</span>) <span class="vhdlkeyword">begin</span>
<a name="l00743"></a>00743         <a class="code" href="classocs__video.html#a8eea0960308160378b1abd01fae14a0b">CYC_O</a> &lt;= <span class="vhdllogic">1&#39;b0</span>;
<a name="l00744"></a>00744         <a class="code" href="classocs__video.html#a92379f2dd77871464b2c07cb6776ec8d">STB_O</a> &lt;= <span class="vhdllogic">1&#39;b0</span>;
<a name="l00745"></a>00745         <a class="code" href="classocs__video.html#ae16f01cfeeab6845410e12a20b763dc1">ADR_O</a> &lt;= <span class="vhdllogic">19&#39;d0</span>;
<a name="l00746"></a>00746         <a class="code" href="classocs__video.html#aa7ff9730372e89b4829d43b16001f0bd">ACK_O</a> &lt;= <span class="vhdllogic">1&#39;b0</span>;
<a name="l00747"></a>00747     <span class="vhdlkeyword">end</span>
<a name="l00748"></a>00748     <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">begin</span>
<a name="l00749"></a>00749         <span class="keyword">// write/read registers as slave</span>
<a name="l00750"></a>00750         
<a name="l00751"></a>00751         <span class="keyword">// if start of new line:</span>
<a name="l00752"></a>00752         <span class="keyword">//      get sprite data as master</span>
<a name="l00753"></a>00753         <span class="keyword">//      get bitmap data as master</span>
<a name="l00754"></a>00754         
<a name="l00755"></a>00755         <span class="keyword">// concurrent save line to video memory</span>
<a name="l00756"></a>00756         
<a name="l00757"></a>00757         <span class="vhdlkeyword">if</span>(<a class="code" href="classocs__video.html#aa7ff9730372e89b4829d43b16001f0bd">ACK_O</a> == <span class="vhdllogic">1&#39;b1</span>) <span class="vhdlkeyword">begin</span>
<a name="l00758"></a>00758             <a class="code" href="classocs__video.html#aa7ff9730372e89b4829d43b16001f0bd">ACK_O</a> &lt;= <span class="vhdllogic">1&#39;b0</span>;
<a name="l00759"></a>00759         <span class="vhdlkeyword">end</span>
<a name="l00760"></a>00760         <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>((<a class="code" href="classocs__video.html#a4d65b462592ed5f9d20fadf214f6d1d3">CYC_I</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classocs__video.html#a00f4550e8894fb96480ef452e1f9fbc5">STB_I</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classocs__video.html#aa06cc40e8e2b738e5aaf6244dbce78f2">WE_I</a> == <span class="vhdllogic">1&#39;b0</span>) || <a class="code" href="classocs__video.html#a9a70718ff87667a7556ffdd83e9d6698">priority_write_ena</a> == <span class="vhdllogic">1&#39;b1</span> || <a class="code" href="classocs__video.html#a4d97e96048b6c644b12aa0e5838d3cc2">bitplains_write_ena</a> == <span class="vhdllogic">1&#39;b1</span> ||
<a name="l00761"></a>00761             <a class="code" href="classocs__video.html#a7928e4114600010308b0272829948480">sprite0_write_ena</a> == <span class="vhdllogic">1&#39;b1</span> || <a class="code" href="classocs__video.html#ad95b0798a54cf5ad16f5efd7a845c355">sprite1_write_ena</a> == <span class="vhdllogic">1&#39;b1</span> || <a class="code" href="classocs__video.html#a62dd28bd2c45db9f6fc852b4a1bcd067">sprite2_write_ena</a> == <span class="vhdllogic">1&#39;b1</span> || <a class="code" href="classocs__video.html#a69a7c37f0d3d03e8e59888656f1a3343">sprite3_write_ena</a> == <span class="vhdllogic">1&#39;b1</span> || 
<a name="l00762"></a>00762             <a class="code" href="classocs__video.html#aac350ac496ce124e3083104865bc9df0">sprite4_write_ena</a> == <span class="vhdllogic">1&#39;b1</span> || <a class="code" href="classocs__video.html#a7d348ad523e53228525397d5ff24bdb8">sprite5_write_ena</a> == <span class="vhdllogic">1&#39;b1</span> || <a class="code" href="classocs__video.html#ae0e7c38fbe677af4d7f995b929b2e83c">sprite6_write_ena</a> == <span class="vhdllogic">1&#39;b1</span> || <a class="code" href="classocs__video.html#abbc1b9e164242b0f14ac1dbbbec485db">sprite7_write_ena</a> == <span class="vhdllogic">1&#39;b1</span> ||
<a name="l00763"></a>00763             <a class="code" href="classocs__video.html#a5054aab770c1105ee06bb0f68eef5dfe">na_int_ena_write</a> == <span class="vhdllogic">1&#39;b1</span> || <a class="code" href="classocs__video.html#afa53e326f80a22ebca3bb210fe47cd66">na_dma_con_write</a> == <span class="vhdllogic">1&#39;b1</span>)
<a name="l00764"></a>00764         <span class="vhdlkeyword">begin</span>
<a name="l00765"></a>00765             <a class="code" href="classocs__video.html#aa7ff9730372e89b4829d43b16001f0bd">ACK_O</a> &lt;= <span class="vhdllogic">1&#39;b1</span>;
<a name="l00766"></a>00766         <span class="vhdlkeyword">end</span>
<a name="l00767"></a>00767         
<a name="l00768"></a>00768         <span class="vhdlkeyword">if</span>(<a class="code" href="classocs__video.html#a8eea0960308160378b1abd01fae14a0b">CYC_O</a> == <span class="vhdllogic">1&#39;b0</span> &amp;&amp; <a class="code" href="classocs__video.html#a92379f2dd77871464b2c07cb6776ec8d">STB_O</a> == <span class="vhdllogic">1&#39;b0</span> &amp;&amp; <a class="code" href="classocs__video.html#a9c8c5d8ed7b7e855e644c85b68a0d6fe">dma_select</a> != <span class="vhdllogic">4&#39;d0</span>) <span class="vhdlkeyword">begin</span>
<a name="l00769"></a>00769             <a class="code" href="classocs__video.html#ae16f01cfeeab6845410e12a20b763dc1">ADR_O</a> &lt;= <a class="code" href="classocs__video.html#aaa0477f2d7ff6c070bf622625a090320">dma_address_select</a>;
<a name="l00770"></a>00770             <a class="code" href="classocs__video.html#a8eea0960308160378b1abd01fae14a0b">CYC_O</a> &lt;= <span class="vhdllogic">1&#39;b1</span>;
<a name="l00771"></a>00771             <a class="code" href="classocs__video.html#a92379f2dd77871464b2c07cb6776ec8d">STB_O</a> &lt;= <span class="vhdllogic">1&#39;b1</span>;
<a name="l00772"></a>00772         <span class="vhdlkeyword">end</span>
<a name="l00773"></a>00773         <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classocs__video.html#a8eea0960308160378b1abd01fae14a0b">CYC_O</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classocs__video.html#a92379f2dd77871464b2c07cb6776ec8d">STB_O</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classocs__video.html#a8324b684d196a39e23bade6d00d841f4">ACK_I</a> == <span class="vhdllogic">1&#39;b1</span>) <span class="vhdlkeyword">begin</span>
<a name="l00774"></a>00774             <a class="code" href="classocs__video.html#a8eea0960308160378b1abd01fae14a0b">CYC_O</a> &lt;= <span class="vhdllogic">1&#39;b0</span>;
<a name="l00775"></a>00775             <a class="code" href="classocs__video.html#a92379f2dd77871464b2c07cb6776ec8d">STB_O</a> &lt;= <span class="vhdllogic">1&#39;b0</span>;
<a name="l00776"></a>00776         <span class="vhdlkeyword">end</span>
<a name="l00777"></a>00777         
<a name="l00778"></a>00778         <span class="keyword">// WARNING: disable sprites if ddf_start early</span>
<a name="l00779"></a>00779         <span class="keyword">// WARNING: line_start, line_number and line_ena change at once</span>
<a name="l00780"></a>00780     <span class="vhdlkeyword">end</span>
<a name="l00781"></a>00781 <span class="vhdlkeyword">end</span>
<a name="l00782"></a>00782 
<a name="l00783"></a>00783 <span class="vhdlkeyword">endmodule</span>
<a name="l00784"></a>00784 
<a name="l00785"></a>00785 <span class="keyword">/*! \brief Video output generator from bitplain and sprite video data input.</span>
<a name="l00786"></a>00786 <span class="keyword"> */</span>
<a name="l00787"></a><a class="code" href="classvideo__priority.html">00787</a> <span class="vhdlkeyword">module</span> <a class="code" href="classocs__video.html#aeacd1cb4715e757be902c9642a9d0644">video_priority</a>(
<a name="l00788"></a><a class="code" href="classvideo__priority.html#abf1aaed0ee2f67c228427dcf593cbf82">00788</a>     <span class="vhdlkeyword">input</span> <a class="code" href="classvideo__priority.html#abf1aaed0ee2f67c228427dcf593cbf82">CLK_I</a>,
<a name="l00789"></a><a class="code" href="classvideo__priority.html#a5cffa9f1df5eafdf162885af5f9db43e">00789</a>     <span class="vhdlkeyword">input</span> <a class="code" href="classvideo__priority.html#a5cffa9f1df5eafdf162885af5f9db43e">reset_n</a>,
<a name="l00790"></a>00790     
<a name="l00791"></a><a class="code" href="classvideo__priority.html#a70240d2f3e00d409fad206ba1a183b59">00791</a>     <span class="vhdlkeyword">input</span> <a class="code" href="classvideo__priority.html#a70240d2f3e00d409fad206ba1a183b59">line_start</a>,
<a name="l00792"></a><a class="code" href="classvideo__priority.html#a7db8e0ece574f0994ab0778d9664ef6e">00792</a>     <span class="vhdlkeyword">input</span> <a class="code" href="classvideo__priority.html#a7db8e0ece574f0994ab0778d9664ef6e">line_pre_start</a>,
<a name="l00793"></a><a class="code" href="classvideo__priority.html#a9c084e0e8ade1c73b276591df5f35062">00793</a>     <span class="vhdlkeyword">input</span> [<span class="vhdllogic">8</span>:<span class="vhdllogic">0</span>] <a class="code" href="classvideo__priority.html#a9c084e0e8ade1c73b276591df5f35062">line_number</a>,
<a name="l00794"></a><a class="code" href="classvideo__priority.html#a3cb65ccb821596be046bddd263e5f740">00794</a>     <span class="vhdlkeyword">input</span> [<span class="vhdllogic">8</span>:<span class="vhdllogic">0</span>] <a class="code" href="classvideo__priority.html#a3cb65ccb821596be046bddd263e5f740">column_number</a>,
<a name="l00795"></a><a class="code" href="classvideo__priority.html#a967ea2d3f3f0ed68ab13fcbfa28d74e2">00795</a>     <span class="vhdlkeyword">output</span> <a class="code" href="classvideo__priority.html#a967ea2d3f3f0ed68ab13fcbfa28d74e2">window_line_enable</a>,
<a name="l00796"></a>00796     
<a name="l00797"></a><a class="code" href="classvideo__priority.html#aa53348fc2624d5541c3c7ce335d96de0">00797</a>     <span class="vhdlkeyword">input</span> <a class="code" href="classvideo__priority.html#aa53348fc2624d5541c3c7ce335d96de0">write_ena</a>,
<a name="l00798"></a>00798     <span class="keyword">// 0:   COLOR00,    COLOR01,</span>
<a name="l00799"></a>00799     <span class="keyword">// 1:   COLOR02,    COLOR03,</span>
<a name="l00800"></a>00800     <span class="keyword">// 2:   COLOR04,    COLOR05,</span>
<a name="l00801"></a>00801     <span class="keyword">// 3:   COLOR06,    COLOR07,</span>
<a name="l00802"></a>00802     <span class="keyword">// 4:   COLOR08,    COLOR09,</span>
<a name="l00803"></a>00803     <span class="keyword">// 5:   COLOR10,    COLOR11,</span>
<a name="l00804"></a>00804     <span class="keyword">// 6:   COLOR12,    COLOR13,</span>
<a name="l00805"></a>00805     <span class="keyword">// 7:   COLOR14,    COLOR15,</span>
<a name="l00806"></a>00806     <span class="keyword">// 8:   COLOR16,    COLOR17,</span>
<a name="l00807"></a>00807     <span class="keyword">// 9:   COLOR18,    COLOR19,</span>
<a name="l00808"></a>00808     <span class="keyword">// 10:  COLOR20,    COLOR21,</span>
<a name="l00809"></a>00809     <span class="keyword">// 11:  COLOR22,    COLOR23,</span>
<a name="l00810"></a>00810     <span class="keyword">// 12:  COLOR24,    COLOR25,</span>
<a name="l00811"></a>00811     <span class="keyword">// 13:  COLOR26,    COLOR27,</span>
<a name="l00812"></a>00812     <span class="keyword">// 14:  COLOR28,    COLOR29,</span>
<a name="l00813"></a>00813     <span class="keyword">// 15:  COLOR30,    COLOR31,</span>
<a name="l00814"></a>00814     <span class="keyword">// 16:      DIWSTRT [15:0],     COPINS      [31:16], * COPINS not implemented  </span>
<a name="l00815"></a>00815     <span class="keyword">// 17:      DIWSTOP [31:16],    DDFSTART    [15:0], *</span>
<a name="l00816"></a>00816     <span class="keyword">// 18:      CLXCON  [31:16],    INTENA      [15:0], *</span>
<a name="l00817"></a>00817     <span class="keyword">// 19:      BPLCON2 [31:16],    NOT USED    [15:0],</span>
<a name="l00818"></a>00818     <span class="keyword">// read:    CLXDAT  [15:0],     JOY1DAT     [31:16] *</span>
<a name="l00819"></a><a class="code" href="classvideo__priority.html#a249b3c483c8a21b7647b327bf3cd865e">00819</a>     <span class="vhdlkeyword">input</span> [<span class="vhdllogic">4</span>:<span class="vhdllogic">0</span>] <a class="code" href="classvideo__priority.html#a249b3c483c8a21b7647b327bf3cd865e">write_address</a>,
<a name="l00820"></a><a class="code" href="classvideo__priority.html#a578e2dacf94d79bc24e170edb9d4ce83">00820</a>     <span class="vhdlkeyword">input</span> [<span class="vhdllogic">31</span>:<span class="vhdllogic">0</span>] <a class="code" href="classvideo__priority.html#a578e2dacf94d79bc24e170edb9d4ce83">write_data</a>,
<a name="l00821"></a><a class="code" href="classvideo__priority.html#a73c42730890adabba7eb336985911647">00821</a>     <span class="vhdlkeyword">input</span> [<span class="vhdllogic">3</span>:<span class="vhdllogic">0</span>] <a class="code" href="classvideo__priority.html#a73c42730890adabba7eb336985911647">write_sel</a>,
<a name="l00822"></a>00822     
<a name="l00823"></a><a class="code" href="classvideo__priority.html#a0f86a68c77f2f1bb4f0f028067d5609e">00823</a>     <span class="vhdlkeyword">input</span> [<span class="vhdllogic">5</span>:<span class="vhdllogic">0</span>] <a class="code" href="classvideo__priority.html#a0f86a68c77f2f1bb4f0f028067d5609e">bpl_color</a>,
<a name="l00824"></a><a class="code" href="classvideo__priority.html#adbe3fde9009bd8e0d370e83f9b1abcca">00824</a>     <span class="vhdlkeyword">input</span> [<span class="vhdllogic">1</span>:<span class="vhdllogic">0</span>] <a class="code" href="classvideo__priority.html#adbe3fde9009bd8e0d370e83f9b1abcca">sprite0_color</a>,
<a name="l00825"></a><a class="code" href="classvideo__priority.html#a070c9901131e96b738842267ff15b633">00825</a>     <span class="vhdlkeyword">input</span> [<span class="vhdllogic">1</span>:<span class="vhdllogic">0</span>] <a class="code" href="classvideo__priority.html#a070c9901131e96b738842267ff15b633">sprite1_color</a>,
<a name="l00826"></a><a class="code" href="classvideo__priority.html#aecf822613d701e9031c9470afe01a91a">00826</a>     <span class="vhdlkeyword">input</span> <a class="code" href="classvideo__priority.html#aecf822613d701e9031c9470afe01a91a">sprite01_attached</a>,
<a name="l00827"></a><a class="code" href="classvideo__priority.html#a8971e5ecd9c9dbca80e0c4597fac781d">00827</a>     <span class="vhdlkeyword">input</span> [<span class="vhdllogic">1</span>:<span class="vhdllogic">0</span>] <a class="code" href="classvideo__priority.html#a8971e5ecd9c9dbca80e0c4597fac781d">sprite2_color</a>,
<a name="l00828"></a><a class="code" href="classvideo__priority.html#ae0220d1254d51986cd310cc9a475c041">00828</a>     <span class="vhdlkeyword">input</span> [<span class="vhdllogic">1</span>:<span class="vhdllogic">0</span>] <a class="code" href="classvideo__priority.html#ae0220d1254d51986cd310cc9a475c041">sprite3_color</a>,
<a name="l00829"></a><a class="code" href="classvideo__priority.html#a5e8762b0191f6cfaa2624f684348e147">00829</a>     <span class="vhdlkeyword">input</span> <a class="code" href="classvideo__priority.html#a5e8762b0191f6cfaa2624f684348e147">sprite23_attached</a>,
<a name="l00830"></a><a class="code" href="classvideo__priority.html#a015664a80387fc6fd78a5022bb3255b0">00830</a>     <span class="vhdlkeyword">input</span> [<span class="vhdllogic">1</span>:<span class="vhdllogic">0</span>] <a class="code" href="classvideo__priority.html#a015664a80387fc6fd78a5022bb3255b0">sprite4_color</a>,
<a name="l00831"></a><a class="code" href="classvideo__priority.html#a3ee643e15ccc8e3019c40f8a7e75e119">00831</a>     <span class="vhdlkeyword">input</span> [<span class="vhdllogic">1</span>:<span class="vhdllogic">0</span>] <a class="code" href="classvideo__priority.html#a3ee643e15ccc8e3019c40f8a7e75e119">sprite5_color</a>,
<a name="l00832"></a><a class="code" href="classvideo__priority.html#aa7c6b3a943e662e8b599a3bc53317931">00832</a>     <span class="vhdlkeyword">input</span> <a class="code" href="classvideo__priority.html#aa7c6b3a943e662e8b599a3bc53317931">sprite45_attached</a>,
<a name="l00833"></a><a class="code" href="classvideo__priority.html#ae6ad889c92d0b5cd13ed7c8c7bb007ec">00833</a>     <span class="vhdlkeyword">input</span> [<span class="vhdllogic">1</span>:<span class="vhdllogic">0</span>] <a class="code" href="classvideo__priority.html#ae6ad889c92d0b5cd13ed7c8c7bb007ec">sprite6_color</a>,
<a name="l00834"></a><a class="code" href="classvideo__priority.html#a312be0dfa63120582af9c5e1a086e90f">00834</a>     <span class="vhdlkeyword">input</span> [<span class="vhdllogic">1</span>:<span class="vhdllogic">0</span>] <a class="code" href="classvideo__priority.html#a312be0dfa63120582af9c5e1a086e90f">sprite7_color</a>,
<a name="l00835"></a><a class="code" href="classvideo__priority.html#a16cc281a627fa556a5a8ea7c85757de3">00835</a>     <span class="vhdlkeyword">input</span> <a class="code" href="classvideo__priority.html#a16cc281a627fa556a5a8ea7c85757de3">sprite67_attached</a>,
<a name="l00836"></a>00836     
<a name="l00837"></a><a class="code" href="classvideo__priority.html#ac2441916ea9813e67cbfb1821da6b586">00837</a>     <span class="vhdlkeyword">input</span> <a class="code" href="classvideo__priority.html#ac2441916ea9813e67cbfb1821da6b586">clx_dat_read</a>,
<a name="l00838"></a><a class="code" href="classvideo__priority.html#a90f4a68f2cf29bebc82da10916240135">00838</a>     <span class="vhdlkeyword">output</span> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">15</span>:<span class="vhdllogic">0</span>] <a class="code" href="classvideo__priority.html#a90f4a68f2cf29bebc82da10916240135">clx_dat</a>,
<a name="l00839"></a>00839     
<a name="l00840"></a><a class="code" href="classvideo__priority.html#a7180ae8eca8a1069b932250dd2668f92">00840</a>     <span class="vhdlkeyword">input</span> [<span class="vhdllogic">10</span>:<span class="vhdllogic">0</span>] <a class="code" href="classvideo__priority.html#a7180ae8eca8a1069b932250dd2668f92">bpl_con0</a>,
<a name="l00841"></a>00841     
<a name="l00842"></a>00842     <span class="keyword">// video interface</span>
<a name="l00843"></a><a class="code" href="classvideo__priority.html#a4d82e3563ff52439550701fcef2d6cf3">00843</a>     <span class="vhdlkeyword">output</span> <span class="vhdlkeyword">reg</span> <a class="code" href="classvideo__priority.html#a4d82e3563ff52439550701fcef2d6cf3">burst_write_request</a>,
<a name="l00844"></a><a class="code" href="classvideo__priority.html#aed83a73389043aa5e85ce5e0e30a14bc">00844</a>     <span class="vhdlkeyword">output</span> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">31</span>:<span class="vhdllogic">2</span>] <a class="code" href="classvideo__priority.html#aed83a73389043aa5e85ce5e0e30a14bc">burst_write_address</a>,
<a name="l00845"></a><a class="code" href="classvideo__priority.html#ad73798ab006b419898ceee684e789853">00845</a>     <span class="vhdlkeyword">output</span> [<span class="vhdllogic">35</span>:<span class="vhdllogic">0</span>] <a class="code" href="classvideo__priority.html#ad73798ab006b419898ceee684e789853">burst_write_data</a>,
<a name="l00846"></a><a class="code" href="classvideo__priority.html#a9df6d68f4d69b5b0df56a70106b42e85">00846</a>     <span class="vhdlkeyword">input</span> <a class="code" href="classvideo__priority.html#a9df6d68f4d69b5b0df56a70106b42e85">burst_write_ready</a>
<a name="l00847"></a>00847 );
<a name="l00848"></a>00848 
<a name="l00849"></a>00849 <span class="keyword">// lowres, noninterlaced</span>
<a name="l00850"></a><a class="code" href="classvideo__priority.html#a233c298e13d06cf13784e5fba6a236ea">00850</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">15</span>:<span class="vhdllogic">0</span>] <a class="code" href="classvideo__priority.html#a233c298e13d06cf13784e5fba6a236ea">diw_start</a>;
<a name="l00851"></a><a class="code" href="classvideo__priority.html#a4b54ba271e86d2bdc858366ed8c47b01">00851</a> <span class="vhdlkeyword">wire</span> [<span class="vhdllogic">8</span>:<span class="vhdllogic">0</span>] <a class="code" href="classvideo__priority.html#a4b54ba271e86d2bdc858366ed8c47b01">hstart</a>;
<a name="l00852"></a><a class="code" href="classvideo__priority.html#ac57651242b62129d469b6d010b2e098f">00852</a> <span class="vhdlkeyword">wire</span> [<span class="vhdllogic">8</span>:<span class="vhdllogic">0</span>] <a class="code" href="classvideo__priority.html#ac57651242b62129d469b6d010b2e098f">vstart</a>;
<a name="l00853"></a>00853 <span class="vhdlkeyword">assign</span> <a class="code" href="classvideo__priority.html#a4b54ba271e86d2bdc858366ed8c47b01">hstart</a> = { <span class="vhdllogic">1&#39;b0</span>, <a class="code" href="classvideo__priority.html#a233c298e13d06cf13784e5fba6a236ea">diw_start</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>] };
<a name="l00854"></a>00854 <span class="vhdlkeyword">assign</span> <a class="code" href="classvideo__priority.html#ac57651242b62129d469b6d010b2e098f">vstart</a> = { <span class="vhdllogic">1&#39;b0</span>, <a class="code" href="classvideo__priority.html#a233c298e13d06cf13784e5fba6a236ea">diw_start</a>[<span class="vhdllogic">15</span>:<span class="vhdllogic">8</span>]};
<a name="l00855"></a>00855 
<a name="l00856"></a>00856 <span class="keyword">// lowres, noninterlaced</span>
<a name="l00857"></a><a class="code" href="classvideo__priority.html#a78d6e67d14db050acbe932c7cad959db">00857</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">15</span>:<span class="vhdllogic">0</span>] <a class="code" href="classvideo__priority.html#a78d6e67d14db050acbe932c7cad959db">diw_stop</a>;
<a name="l00858"></a><a class="code" href="classvideo__priority.html#a6be9839641b39ff0f0b9bff19ae4f352">00858</a> <span class="vhdlkeyword">wire</span> [<span class="vhdllogic">8</span>:<span class="vhdllogic">0</span>] <a class="code" href="classvideo__priority.html#a6be9839641b39ff0f0b9bff19ae4f352">hstop</a>;
<a name="l00859"></a><a class="code" href="classvideo__priority.html#ac43361a2ff9c4824caba4d148d51b106">00859</a> <span class="vhdlkeyword">wire</span> [<span class="vhdllogic">8</span>:<span class="vhdllogic">0</span>] <a class="code" href="classvideo__priority.html#ac43361a2ff9c4824caba4d148d51b106">vstop</a>;
<a name="l00860"></a>00860 <span class="vhdlkeyword">assign</span> <a class="code" href="classvideo__priority.html#a6be9839641b39ff0f0b9bff19ae4f352">hstop</a> = { <span class="vhdllogic">1&#39;b1</span>, <a class="code" href="classvideo__priority.html#a78d6e67d14db050acbe932c7cad959db">diw_stop</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>] };
<a name="l00861"></a>00861 <span class="vhdlkeyword">assign</span> <a class="code" href="classvideo__priority.html#ac43361a2ff9c4824caba4d148d51b106">vstop</a> = { ~<a class="code" href="classvideo__priority.html#a78d6e67d14db050acbe932c7cad959db">diw_stop</a>[<span class="vhdllogic">15</span>], <a class="code" href="classvideo__priority.html#a78d6e67d14db050acbe932c7cad959db">diw_stop</a>[<span class="vhdllogic">15</span>:<span class="vhdllogic">8</span>] };
<a name="l00862"></a>00862 
<a name="l00863"></a>00863 <span class="vhdlkeyword">assign</span> <a class="code" href="classvideo__priority.html#a967ea2d3f3f0ed68ab13fcbfa28d74e2">window_line_enable</a> = (<a class="code" href="classvideo__priority.html#a9c084e0e8ade1c73b276591df5f35062">line_number</a> &gt;= <a class="code" href="classvideo__priority.html#ac57651242b62129d469b6d010b2e098f">vstart</a> &amp;&amp; <a class="code" href="classvideo__priority.html#a9c084e0e8ade1c73b276591df5f35062">line_number</a> &lt; <a class="code" href="classvideo__priority.html#ac43361a2ff9c4824caba4d148d51b106">vstop</a>);
<a name="l00864"></a><a class="code" href="classvideo__priority.html#a91cd3399ae262fc8cdcb2bc6a0431f11">00864</a> <span class="vhdlkeyword">wire</span> <a class="code" href="classvideo__priority.html#a91cd3399ae262fc8cdcb2bc6a0431f11">screen_line_enable</a>;
<a name="l00865"></a>00865 <span class="vhdlkeyword">assign</span> <a class="code" href="classvideo__priority.html#a91cd3399ae262fc8cdcb2bc6a0431f11">screen_line_enable</a> = (<a class="code" href="classvideo__priority.html#a9c084e0e8ade1c73b276591df5f35062">line_number</a> &gt;= <span class="vhdllogic">9&#39;h2C</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a9c084e0e8ade1c73b276591df5f35062">line_number</a> &lt; <span class="vhdllogic">9&#39;h12C</span>);
<a name="l00866"></a>00866 
<a name="l00867"></a>00867 <span class="keyword">// output reg [15:0] clx_dat;</span>
<a name="l00868"></a><a class="code" href="classvideo__priority.html#a6286ce350ac15a4de6a179a82d7f1262">00868</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">15</span>:<span class="vhdllogic">0</span>] <a class="code" href="classvideo__priority.html#a6286ce350ac15a4de6a179a82d7f1262">clx_con</a>;
<a name="l00869"></a>00869 
<a name="l00870"></a><a class="code" href="classvideo__priority.html#a3edf8c75f1b3f4cecb690f098c94885a">00870</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">6</span>:<span class="vhdllogic">0</span>] <a class="code" href="classvideo__priority.html#a3edf8c75f1b3f4cecb690f098c94885a">bpl_con2</a>;
<a name="l00871"></a>00871 
<a name="l00872"></a><a class="code" href="classvideo__priority.html#a14f038a8cc5565f1d87e6d913a950561">00872</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">11</span>:<span class="vhdllogic">0</span>] <a class="code" href="classvideo__priority.html#a14f038a8cc5565f1d87e6d913a950561">color00</a>;
<a name="l00873"></a><a class="code" href="classvideo__priority.html#a6737e9fc15551e32c72be0ebbef5a0ac">00873</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">11</span>:<span class="vhdllogic">0</span>] <a class="code" href="classvideo__priority.html#a6737e9fc15551e32c72be0ebbef5a0ac">color01</a>;
<a name="l00874"></a><a class="code" href="classvideo__priority.html#a19e2df555129210e2942825a431ecaee">00874</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">11</span>:<span class="vhdllogic">0</span>] <a class="code" href="classvideo__priority.html#a19e2df555129210e2942825a431ecaee">color02</a>;
<a name="l00875"></a><a class="code" href="classvideo__priority.html#a22d9628448c901b413c52042a4219680">00875</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">11</span>:<span class="vhdllogic">0</span>] <a class="code" href="classvideo__priority.html#a22d9628448c901b413c52042a4219680">color03</a>;
<a name="l00876"></a><a class="code" href="classvideo__priority.html#aa0c267b5c817534e14c52d3dc15bbfd9">00876</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">11</span>:<span class="vhdllogic">0</span>] <a class="code" href="classvideo__priority.html#aa0c267b5c817534e14c52d3dc15bbfd9">color04</a>;
<a name="l00877"></a><a class="code" href="classvideo__priority.html#a6f5a91200e58aadf1955eaa4c33bc3d1">00877</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">11</span>:<span class="vhdllogic">0</span>] <a class="code" href="classvideo__priority.html#a6f5a91200e58aadf1955eaa4c33bc3d1">color05</a>;
<a name="l00878"></a><a class="code" href="classvideo__priority.html#a2bfc5024cfa202ea1f2797b123ee924b">00878</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">11</span>:<span class="vhdllogic">0</span>] <a class="code" href="classvideo__priority.html#a2bfc5024cfa202ea1f2797b123ee924b">color06</a>;
<a name="l00879"></a><a class="code" href="classvideo__priority.html#a589b5e24f77a7928e2c9b1b96b40907c">00879</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">11</span>:<span class="vhdllogic">0</span>] <a class="code" href="classvideo__priority.html#a589b5e24f77a7928e2c9b1b96b40907c">color07</a>;
<a name="l00880"></a><a class="code" href="classvideo__priority.html#a29e5c9c11f890dbfbe08a3aaec40d79d">00880</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">11</span>:<span class="vhdllogic">0</span>] <a class="code" href="classvideo__priority.html#a29e5c9c11f890dbfbe08a3aaec40d79d">color08</a>;
<a name="l00881"></a><a class="code" href="classvideo__priority.html#adc014d0a5986e9894d68a8c3c2fcb5fd">00881</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">11</span>:<span class="vhdllogic">0</span>] <a class="code" href="classvideo__priority.html#adc014d0a5986e9894d68a8c3c2fcb5fd">color09</a>;
<a name="l00882"></a><a class="code" href="classvideo__priority.html#ac8180e404a25c8f55ce79568f604d3d9">00882</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">11</span>:<span class="vhdllogic">0</span>] <a class="code" href="classvideo__priority.html#ac8180e404a25c8f55ce79568f604d3d9">color10</a>;
<a name="l00883"></a><a class="code" href="classvideo__priority.html#a04d781dcbaeb50edf04ac552b4393bf6">00883</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">11</span>:<span class="vhdllogic">0</span>] <a class="code" href="classvideo__priority.html#a04d781dcbaeb50edf04ac552b4393bf6">color11</a>;
<a name="l00884"></a><a class="code" href="classvideo__priority.html#a29d644ce487f13506da5e4028f24c584">00884</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">11</span>:<span class="vhdllogic">0</span>] <a class="code" href="classvideo__priority.html#a29d644ce487f13506da5e4028f24c584">color12</a>;
<a name="l00885"></a><a class="code" href="classvideo__priority.html#a61934dfdd62a3f9ab27b2b6e14799ca2">00885</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">11</span>:<span class="vhdllogic">0</span>] <a class="code" href="classvideo__priority.html#a61934dfdd62a3f9ab27b2b6e14799ca2">color13</a>;
<a name="l00886"></a><a class="code" href="classvideo__priority.html#a26adb7f2132fcbb2606d0b00b20ffa26">00886</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">11</span>:<span class="vhdllogic">0</span>] <a class="code" href="classvideo__priority.html#a26adb7f2132fcbb2606d0b00b20ffa26">color14</a>;
<a name="l00887"></a><a class="code" href="classvideo__priority.html#a376f93185d81040eb60bf48a1596fbdb">00887</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">11</span>:<span class="vhdllogic">0</span>] <a class="code" href="classvideo__priority.html#a376f93185d81040eb60bf48a1596fbdb">color15</a>;
<a name="l00888"></a><a class="code" href="classvideo__priority.html#a0aa3758b46b51f6a0cba127914666b1b">00888</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">11</span>:<span class="vhdllogic">0</span>] <a class="code" href="classvideo__priority.html#a0aa3758b46b51f6a0cba127914666b1b">color16</a>;
<a name="l00889"></a><a class="code" href="classvideo__priority.html#a29cac67e40ced08943db02d4dd7361c0">00889</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">11</span>:<span class="vhdllogic">0</span>] <a class="code" href="classvideo__priority.html#a29cac67e40ced08943db02d4dd7361c0">color17</a>;
<a name="l00890"></a><a class="code" href="classvideo__priority.html#a06d9bf6c77787115709f899ca71d2ea6">00890</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">11</span>:<span class="vhdllogic">0</span>] <a class="code" href="classvideo__priority.html#a06d9bf6c77787115709f899ca71d2ea6">color18</a>;
<a name="l00891"></a><a class="code" href="classvideo__priority.html#a92f3e2f799f0cb0562b99f96448050a2">00891</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">11</span>:<span class="vhdllogic">0</span>] <a class="code" href="classvideo__priority.html#a92f3e2f799f0cb0562b99f96448050a2">color19</a>;
<a name="l00892"></a><a class="code" href="classvideo__priority.html#ad25aa68683b8982f07ad62d8210c2862">00892</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">11</span>:<span class="vhdllogic">0</span>] <a class="code" href="classvideo__priority.html#ad25aa68683b8982f07ad62d8210c2862">color20</a>;
<a name="l00893"></a><a class="code" href="classvideo__priority.html#a4ce788e6baa223b539ac70a2b26cce78">00893</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">11</span>:<span class="vhdllogic">0</span>] <a class="code" href="classvideo__priority.html#a4ce788e6baa223b539ac70a2b26cce78">color21</a>;
<a name="l00894"></a><a class="code" href="classvideo__priority.html#ae01ee36a38fda5be416ba46bd4c1e59b">00894</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">11</span>:<span class="vhdllogic">0</span>] <a class="code" href="classvideo__priority.html#ae01ee36a38fda5be416ba46bd4c1e59b">color22</a>;
<a name="l00895"></a><a class="code" href="classvideo__priority.html#aca4c1b490797abd17be5f3bb0e7c2eaf">00895</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">11</span>:<span class="vhdllogic">0</span>] <a class="code" href="classvideo__priority.html#aca4c1b490797abd17be5f3bb0e7c2eaf">color23</a>;
<a name="l00896"></a><a class="code" href="classvideo__priority.html#ae8b790fffbce469347f7b383aea7f46e">00896</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">11</span>:<span class="vhdllogic">0</span>] <a class="code" href="classvideo__priority.html#ae8b790fffbce469347f7b383aea7f46e">color24</a>;
<a name="l00897"></a><a class="code" href="classvideo__priority.html#ac29d6732d6fdd90a1643c679ceda91a5">00897</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">11</span>:<span class="vhdllogic">0</span>] <a class="code" href="classvideo__priority.html#ac29d6732d6fdd90a1643c679ceda91a5">color25</a>;
<a name="l00898"></a><a class="code" href="classvideo__priority.html#a50ac9ae51d6d01efa5d7428ce5d94d50">00898</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">11</span>:<span class="vhdllogic">0</span>] <a class="code" href="classvideo__priority.html#a50ac9ae51d6d01efa5d7428ce5d94d50">color26</a>;
<a name="l00899"></a><a class="code" href="classvideo__priority.html#ab35f095d1ade0cbadb345cfd8529307f">00899</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">11</span>:<span class="vhdllogic">0</span>] <a class="code" href="classvideo__priority.html#ab35f095d1ade0cbadb345cfd8529307f">color27</a>;
<a name="l00900"></a><a class="code" href="classvideo__priority.html#a925899e6a75022d27b7ee27ab06ea40f">00900</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">11</span>:<span class="vhdllogic">0</span>] <a class="code" href="classvideo__priority.html#a925899e6a75022d27b7ee27ab06ea40f">color28</a>;
<a name="l00901"></a><a class="code" href="classvideo__priority.html#a99c84aa517637ca98541edd02a0d94de">00901</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">11</span>:<span class="vhdllogic">0</span>] <a class="code" href="classvideo__priority.html#a99c84aa517637ca98541edd02a0d94de">color29</a>;
<a name="l00902"></a><a class="code" href="classvideo__priority.html#ad850b35ef346412c52a8b124ebf7a245">00902</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">11</span>:<span class="vhdllogic">0</span>] <a class="code" href="classvideo__priority.html#ad850b35ef346412c52a8b124ebf7a245">color30</a>;
<a name="l00903"></a><a class="code" href="classvideo__priority.html#a84580d667f4fdfcaa80a874d772c9f10">00903</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">11</span>:<span class="vhdllogic">0</span>] <a class="code" href="classvideo__priority.html#a84580d667f4fdfcaa80a874d772c9f10">color31</a>;
<a name="l00904"></a>00904 
<a name="l00905"></a><a class="code" href="classvideo__priority.html#ae06e8318294b7deb0f5d2c8bf69b8ca2">00905</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>] <a class="code" href="classvideo__priority.html#ae06e8318294b7deb0f5d2c8bf69b8ca2">line_ram_addr</a>;
<a name="l00906"></a><a class="code" href="classvideo__priority.html#a4cbbbb850059c19bcc3aa1fe7cd27a21">00906</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">35</span>:<span class="vhdllogic">0</span>] <a class="code" href="classvideo__priority.html#a4cbbbb850059c19bcc3aa1fe7cd27a21">line_ram_data</a>;
<a name="l00907"></a><a class="code" href="classvideo__priority.html#a34fbd3b7c69bd1aac8f11bfd9871d808">00907</a> <a class="code" href="classvideo__priority.html#a34fbd3b7c69bd1aac8f11bfd9871d808">altsyncram</a> <span class="vhdlchar">line_ram_inst</span>(
<a name="l00908"></a>00908     .<span class="vhdlchar">clock0</span>(<a class="code" href="classvideo__priority.html#abf1aaed0ee2f67c228427dcf593cbf82">CLK_I</a>),
<a name="l00909"></a>00909 
<a name="l00910"></a>00910     .<span class="vhdlchar">address_a</span>(<a class="code" href="classvideo__priority.html#ae06e8318294b7deb0f5d2c8bf69b8ca2">line_ram_addr</a>),
<a name="l00911"></a>00911     .<span class="vhdlchar">wren_a</span>(<a class="code" href="classvideo__priority.html#a91cd3399ae262fc8cdcb2bc6a0431f11">screen_line_enable</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a3cb65ccb821596be046bddd263e5f740">column_number</a> &gt;= <span class="vhdllogic">9&#39;h81</span> &amp;&amp;
<a name="l00912"></a>00912         ((<a class="code" href="classvideo__priority.html#a3cb65ccb821596be046bddd263e5f740">column_number</a> == <span class="vhdllogic">9&#39;h1C1</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a4b3b914a39366024261dd521e6ea10fd">line_ram_counter</a> == <span class="vhdllogic">3&#39;d1</span>) || (<a class="code" href="classvideo__priority.html#a3cb65ccb821596be046bddd263e5f740">column_number</a> &lt; <span class="vhdllogic">9&#39;h1C1</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a4b3b914a39366024261dd521e6ea10fd">line_ram_counter</a> == <span class="vhdllogic">3&#39;d3</span>))),
<a name="l00913"></a>00913     .<span class="vhdlchar">data_a</span>(
<a name="l00914"></a>00914         (<a class="code" href="classvideo__priority.html#a3cb65ccb821596be046bddd263e5f740">column_number</a> == <span class="vhdllogic">9&#39;h1C1</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a4b3b914a39366024261dd521e6ea10fd">line_ram_counter</a> == <span class="vhdllogic">3&#39;d1</span>)? { <a class="code" href="classvideo__priority.html#a2832bd42f329f0241fd6fd2117e50ae7">final_color_value</a>, <span class="vhdllogic">24&#39;d0</span> } : { <a class="code" href="classvideo__priority.html#a4cbbbb850059c19bcc3aa1fe7cd27a21">line_ram_data</a>[<span class="vhdllogic">23</span>:<span class="vhdllogic">0</span>], <a class="code" href="classvideo__priority.html#a2832bd42f329f0241fd6fd2117e50ae7">final_color_value</a> }
<a name="l00915"></a>00915     ),
<a name="l00916"></a>00916     .<span class="vhdlchar">q_a</span>(<a class="code" href="classvideo__priority.html#ad73798ab006b419898ceee684e789853">burst_write_data</a>)
<a name="l00917"></a>00917 );
<a name="l00918"></a>00918 <span class="vhdlkeyword">defparam</span> 
<a name="l00919"></a>00919     <span class="vhdlchar">line_ram_inst</span>.<span class="vhdlchar">operation_mode</span> = <span class="keyword">&quot;SINGLE_PORT&quot;</span>,
<a name="l00920"></a>00920     <span class="vhdlchar">line_ram_inst</span>.<span class="vhdlchar">width_a</span> = <span class="vhdllogic">36</span>,
<a name="l00921"></a>00921     <span class="vhdlchar">line_ram_inst</span>.<span class="vhdlchar">widthad_a</span> = <span class="vhdllogic">8</span>;
<a name="l00922"></a>00922 
<a name="l00923"></a>00923 <span class="keyword">// Collision detection</span>
<a name="l00924"></a>00924 <span class="keyword">// - sprite group - sprite group(1); sprite group - any playfield(2); playfield - playfield(3)</span>
<a name="l00925"></a>00925 <span class="keyword">// - clxdat: does not depend on dual-playfield mode</span>
<a name="l00926"></a>00926 <span class="keyword">// - clxcon: all disabled bitplains = collision always detected</span>
<a name="l00927"></a><a class="code" href="classvideo__priority.html#a6fa6b5b1f8b28c6eb7a3f33cc25c75f5">00927</a> <span class="vhdlkeyword">wire</span> <a class="code" href="classvideo__priority.html#a6fa6b5b1f8b28c6eb7a3f33cc25c75f5">clx_sprite_group_01</a>;
<a name="l00928"></a>00928 <span class="vhdlkeyword">assign</span> <a class="code" href="classvideo__priority.html#a6fa6b5b1f8b28c6eb7a3f33cc25c75f5">clx_sprite_group_01</a> = (<a class="code" href="classvideo__priority.html#adbe3fde9009bd8e0d370e83f9b1abcca">sprite0_color</a> != <span class="vhdllogic">2&#39;b00</span> || (<a class="code" href="classvideo__priority.html#a6286ce350ac15a4de6a179a82d7f1262">clx_con</a>[<span class="vhdllogic">12</span>] == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a070c9901131e96b738842267ff15b633">sprite1_color</a> != <span class="vhdllogic">2&#39;b00</span>));
<a name="l00929"></a><a class="code" href="classvideo__priority.html#a64facd6cf1c37738e3e9b5692bbe591f">00929</a> <span class="vhdlkeyword">wire</span> <a class="code" href="classvideo__priority.html#a64facd6cf1c37738e3e9b5692bbe591f">clx_sprite_group_23</a>;
<a name="l00930"></a>00930 <span class="vhdlkeyword">assign</span> <a class="code" href="classvideo__priority.html#a64facd6cf1c37738e3e9b5692bbe591f">clx_sprite_group_23</a> = (<a class="code" href="classvideo__priority.html#a8971e5ecd9c9dbca80e0c4597fac781d">sprite2_color</a> != <span class="vhdllogic">2&#39;b00</span> || (<a class="code" href="classvideo__priority.html#a6286ce350ac15a4de6a179a82d7f1262">clx_con</a>[<span class="vhdllogic">13</span>] == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classvideo__priority.html#ae0220d1254d51986cd310cc9a475c041">sprite3_color</a> != <span class="vhdllogic">2&#39;b00</span>));
<a name="l00931"></a><a class="code" href="classvideo__priority.html#ad20cbd0983b8017b449754f34e4517b9">00931</a> <span class="vhdlkeyword">wire</span> <a class="code" href="classvideo__priority.html#ad20cbd0983b8017b449754f34e4517b9">clx_sprite_group_45</a>;
<a name="l00932"></a>00932 <span class="vhdlkeyword">assign</span> <a class="code" href="classvideo__priority.html#ad20cbd0983b8017b449754f34e4517b9">clx_sprite_group_45</a> = (<a class="code" href="classvideo__priority.html#a015664a80387fc6fd78a5022bb3255b0">sprite4_color</a> != <span class="vhdllogic">2&#39;b00</span> || (<a class="code" href="classvideo__priority.html#a6286ce350ac15a4de6a179a82d7f1262">clx_con</a>[<span class="vhdllogic">14</span>] == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a3ee643e15ccc8e3019c40f8a7e75e119">sprite5_color</a> != <span class="vhdllogic">2&#39;b00</span>));
<a name="l00933"></a><a class="code" href="classvideo__priority.html#ac6ce1d7cae2c16cfb596b498973e9d81">00933</a> <span class="vhdlkeyword">wire</span> <a class="code" href="classvideo__priority.html#ac6ce1d7cae2c16cfb596b498973e9d81">clx_sprite_group_67</a>;
<a name="l00934"></a>00934 <span class="vhdlkeyword">assign</span> <a class="code" href="classvideo__priority.html#ac6ce1d7cae2c16cfb596b498973e9d81">clx_sprite_group_67</a> = (<a class="code" href="classvideo__priority.html#ae6ad889c92d0b5cd13ed7c8c7bb007ec">sprite6_color</a> != <span class="vhdllogic">2&#39;b00</span> || (<a class="code" href="classvideo__priority.html#a6286ce350ac15a4de6a179a82d7f1262">clx_con</a>[<span class="vhdllogic">15</span>] == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a312be0dfa63120582af9c5e1a086e90f">sprite7_color</a> != <span class="vhdllogic">2&#39;b00</span>));
<a name="l00935"></a>00935 
<a name="l00936"></a><a class="code" href="classvideo__priority.html#a111687f0f1bbef35222234e05943b63f">00936</a> <span class="vhdlkeyword">wire</span> <a class="code" href="classvideo__priority.html#a111687f0f1bbef35222234e05943b63f">clx_even_bpls</a>;
<a name="l00937"></a>00937 <span class="vhdlkeyword">assign</span> <a class="code" href="classvideo__priority.html#a111687f0f1bbef35222234e05943b63f">clx_even_bpls</a> =  (<a class="code" href="classvideo__priority.html#a6286ce350ac15a4de6a179a82d7f1262">clx_con</a>[<span class="vhdllogic">7</span>] == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a6286ce350ac15a4de6a179a82d7f1262">clx_con</a>[<span class="vhdllogic">1</span>] == <a class="code" href="classvideo__priority.html#a0f86a68c77f2f1bb4f0f028067d5609e">bpl_color</a>[<span class="vhdllogic">1</span>]) ||  (<a class="code" href="classvideo__priority.html#a6286ce350ac15a4de6a179a82d7f1262">clx_con</a>[<span class="vhdllogic">9</span>] == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a6286ce350ac15a4de6a179a82d7f1262">clx_con</a>[<span class="vhdllogic">3</span>] == <a class="code" href="classvideo__priority.html#a0f86a68c77f2f1bb4f0f028067d5609e">bpl_color</a>[<span class="vhdllogic">3</span>]) ||
<a name="l00938"></a>00938                         (<a class="code" href="classvideo__priority.html#a6286ce350ac15a4de6a179a82d7f1262">clx_con</a>[<span class="vhdllogic">11</span>] == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a6286ce350ac15a4de6a179a82d7f1262">clx_con</a>[<span class="vhdllogic">5</span>] == <a class="code" href="classvideo__priority.html#a0f86a68c77f2f1bb4f0f028067d5609e">bpl_color</a>[<span class="vhdllogic">5</span>]);
<a name="l00939"></a><a class="code" href="classvideo__priority.html#a0c5c8dd9e6748f182f580ed8df7d395a">00939</a> <span class="vhdlkeyword">wire</span> <a class="code" href="classvideo__priority.html#a0c5c8dd9e6748f182f580ed8df7d395a">clx_odd_bpls</a>;
<a name="l00940"></a>00940 <span class="vhdlkeyword">assign</span> <a class="code" href="classvideo__priority.html#a0c5c8dd9e6748f182f580ed8df7d395a">clx_odd_bpls</a> =   (<a class="code" href="classvideo__priority.html#a6286ce350ac15a4de6a179a82d7f1262">clx_con</a>[<span class="vhdllogic">6</span>] == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a6286ce350ac15a4de6a179a82d7f1262">clx_con</a>[<span class="vhdllogic">0</span>] == <a class="code" href="classvideo__priority.html#a0f86a68c77f2f1bb4f0f028067d5609e">bpl_color</a>[<span class="vhdllogic">0</span>]) || (<a class="code" href="classvideo__priority.html#a6286ce350ac15a4de6a179a82d7f1262">clx_con</a>[<span class="vhdllogic">8</span>] == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a6286ce350ac15a4de6a179a82d7f1262">clx_con</a>[<span class="vhdllogic">2</span>] == <a class="code" href="classvideo__priority.html#a0f86a68c77f2f1bb4f0f028067d5609e">bpl_color</a>[<span class="vhdllogic">2</span>]) ||
<a name="l00941"></a>00941                         (<a class="code" href="classvideo__priority.html#a6286ce350ac15a4de6a179a82d7f1262">clx_con</a>[<span class="vhdllogic">10</span>] == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a6286ce350ac15a4de6a179a82d7f1262">clx_con</a>[<span class="vhdllogic">4</span>] == <a class="code" href="classvideo__priority.html#a0f86a68c77f2f1bb4f0f028067d5609e">bpl_color</a>[<span class="vhdllogic">4</span>]);
<a name="l00942"></a>00942 
<a name="l00943"></a><a class="code" href="classvideo__priority.html#affcc1a932dc2316a1572231c23a59a3d">00943</a> <span class="vhdlkeyword">wire</span> [<span class="vhdllogic">14</span>:<span class="vhdllogic">0</span>] <a class="code" href="classvideo__priority.html#affcc1a932dc2316a1572231c23a59a3d">clx_detected</a>;
<a name="l00944"></a>00944 <span class="vhdlkeyword">assign</span> <a class="code" href="classvideo__priority.html#affcc1a932dc2316a1572231c23a59a3d">clx_detected</a> = {
<a name="l00945"></a>00945     <a class="code" href="classvideo__priority.html#ad20cbd0983b8017b449754f34e4517b9">clx_sprite_group_45</a> &amp;&amp; <a class="code" href="classvideo__priority.html#ac6ce1d7cae2c16cfb596b498973e9d81">clx_sprite_group_67</a>,
<a name="l00946"></a>00946     <a class="code" href="classvideo__priority.html#a64facd6cf1c37738e3e9b5692bbe591f">clx_sprite_group_23</a> &amp;&amp; <a class="code" href="classvideo__priority.html#ac6ce1d7cae2c16cfb596b498973e9d81">clx_sprite_group_67</a>,
<a name="l00947"></a>00947     <a class="code" href="classvideo__priority.html#a64facd6cf1c37738e3e9b5692bbe591f">clx_sprite_group_23</a>  &amp;&amp; <a class="code" href="classvideo__priority.html#ad20cbd0983b8017b449754f34e4517b9">clx_sprite_group_45</a>,
<a name="l00948"></a>00948     <a class="code" href="classvideo__priority.html#a6fa6b5b1f8b28c6eb7a3f33cc25c75f5">clx_sprite_group_01</a> &amp;&amp; <a class="code" href="classvideo__priority.html#ac6ce1d7cae2c16cfb596b498973e9d81">clx_sprite_group_67</a>,
<a name="l00949"></a>00949     <a class="code" href="classvideo__priority.html#a6fa6b5b1f8b28c6eb7a3f33cc25c75f5">clx_sprite_group_01</a>  &amp;&amp; <a class="code" href="classvideo__priority.html#ad20cbd0983b8017b449754f34e4517b9">clx_sprite_group_45</a>,
<a name="l00950"></a>00950     <a class="code" href="classvideo__priority.html#a6fa6b5b1f8b28c6eb7a3f33cc25c75f5">clx_sprite_group_01</a>  &amp;&amp; <a class="code" href="classvideo__priority.html#a64facd6cf1c37738e3e9b5692bbe591f">clx_sprite_group_23</a>,
<a name="l00951"></a>00951     (<a class="code" href="classvideo__priority.html#a6286ce350ac15a4de6a179a82d7f1262">clx_con</a>[<span class="vhdllogic">11</span>:<span class="vhdllogic">6</span>] == <span class="vhdllogic">6&#39;d0</span>) || (<a class="code" href="classvideo__priority.html#a111687f0f1bbef35222234e05943b63f">clx_even_bpls</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classvideo__priority.html#ac6ce1d7cae2c16cfb596b498973e9d81">clx_sprite_group_67</a>),
<a name="l00952"></a>00952     (<a class="code" href="classvideo__priority.html#a6286ce350ac15a4de6a179a82d7f1262">clx_con</a>[<span class="vhdllogic">11</span>:<span class="vhdllogic">6</span>] == <span class="vhdllogic">6&#39;d0</span>) || (<a class="code" href="classvideo__priority.html#a111687f0f1bbef35222234e05943b63f">clx_even_bpls</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classvideo__priority.html#ad20cbd0983b8017b449754f34e4517b9">clx_sprite_group_45</a>),
<a name="l00953"></a>00953     (<a class="code" href="classvideo__priority.html#a6286ce350ac15a4de6a179a82d7f1262">clx_con</a>[<span class="vhdllogic">11</span>:<span class="vhdllogic">6</span>] == <span class="vhdllogic">6&#39;d0</span>) || (<a class="code" href="classvideo__priority.html#a111687f0f1bbef35222234e05943b63f">clx_even_bpls</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a64facd6cf1c37738e3e9b5692bbe591f">clx_sprite_group_23</a>),
<a name="l00954"></a>00954     (<a class="code" href="classvideo__priority.html#a6286ce350ac15a4de6a179a82d7f1262">clx_con</a>[<span class="vhdllogic">11</span>:<span class="vhdllogic">6</span>] == <span class="vhdllogic">6&#39;d0</span>) || (<a class="code" href="classvideo__priority.html#a111687f0f1bbef35222234e05943b63f">clx_even_bpls</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a6fa6b5b1f8b28c6eb7a3f33cc25c75f5">clx_sprite_group_01</a>),
<a name="l00955"></a>00955     (<a class="code" href="classvideo__priority.html#a6286ce350ac15a4de6a179a82d7f1262">clx_con</a>[<span class="vhdllogic">11</span>:<span class="vhdllogic">6</span>] == <span class="vhdllogic">6&#39;d0</span>) || (<a class="code" href="classvideo__priority.html#a0c5c8dd9e6748f182f580ed8df7d395a">clx_odd_bpls</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classvideo__priority.html#ac6ce1d7cae2c16cfb596b498973e9d81">clx_sprite_group_67</a>),
<a name="l00956"></a>00956     (<a class="code" href="classvideo__priority.html#a6286ce350ac15a4de6a179a82d7f1262">clx_con</a>[<span class="vhdllogic">11</span>:<span class="vhdllogic">6</span>] == <span class="vhdllogic">6&#39;d0</span>) || (<a class="code" href="classvideo__priority.html#a0c5c8dd9e6748f182f580ed8df7d395a">clx_odd_bpls</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classvideo__priority.html#ad20cbd0983b8017b449754f34e4517b9">clx_sprite_group_45</a>),
<a name="l00957"></a>00957     (<a class="code" href="classvideo__priority.html#a6286ce350ac15a4de6a179a82d7f1262">clx_con</a>[<span class="vhdllogic">11</span>:<span class="vhdllogic">6</span>] == <span class="vhdllogic">6&#39;d0</span>) || (<a class="code" href="classvideo__priority.html#a0c5c8dd9e6748f182f580ed8df7d395a">clx_odd_bpls</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a64facd6cf1c37738e3e9b5692bbe591f">clx_sprite_group_23</a>),
<a name="l00958"></a>00958     (<a class="code" href="classvideo__priority.html#a6286ce350ac15a4de6a179a82d7f1262">clx_con</a>[<span class="vhdllogic">11</span>:<span class="vhdllogic">6</span>] == <span class="vhdllogic">6&#39;d0</span>) || (<a class="code" href="classvideo__priority.html#a0c5c8dd9e6748f182f580ed8df7d395a">clx_odd_bpls</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a6fa6b5b1f8b28c6eb7a3f33cc25c75f5">clx_sprite_group_01</a>),
<a name="l00959"></a>00959     (<a class="code" href="classvideo__priority.html#a6286ce350ac15a4de6a179a82d7f1262">clx_con</a>[<span class="vhdllogic">11</span>:<span class="vhdllogic">6</span>] == <span class="vhdllogic">6&#39;d0</span>) || (<a class="code" href="classvideo__priority.html#a0c5c8dd9e6748f182f580ed8df7d395a">clx_odd_bpls</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a111687f0f1bbef35222234e05943b63f">clx_even_bpls</a> == <span class="vhdllogic">1&#39;b1</span>)
<a name="l00960"></a>00960 };
<a name="l00961"></a>00961 
<a name="l00962"></a>00962 <span class="keyword">// Video priority</span>
<a name="l00963"></a>00963 <span class="keyword">// - sprite 0 more important than 1,2,3,4,5,6,7</span>
<a name="l00964"></a>00964 <span class="keyword">// - for priority and collision, sprite groups: 0-1, 2-3, 4-5, 6-7</span>
<a name="l00965"></a>00965 <span class="keyword">// - PF2PRI = 0, PF1 more important than PF2; PF2PRI = 1, PL2 more important than PL1</span>
<a name="l00966"></a>00966 <span class="keyword">// - PF2P2 - PF2P0 for non-dual playfield or PF2</span>
<a name="l00967"></a>00967 <span class="keyword">// - PF1P2 - PF1P0 for PF1</span>
<a name="l00968"></a>00968 <span class="keyword">//      - PF(0) SP01 PF(1) SP23 PF(2) SP45 PF(3) SP67 PF(4)</span>
<a name="l00969"></a>00969 <span class="keyword">//</span>
<a name="l00970"></a>00970 <span class="keyword">// Dual-playfield: bpl_con0[5] == 1&#39;b1; PF1 color lookup 0(transparent)-7; PF2 color lookup 8(transparent)-15</span>
<a name="l00971"></a>00971 <span class="keyword">// Attached sprites: 0-1, 2-3, 4-5, 6-7; color 16(transparent)-31; { sprite1_color[1:0], sprite0_color[1:0] }</span>
<a name="l00972"></a><a class="code" href="classvideo__priority.html#a21b6aa526d59e04a39e6edaa47d6349b">00972</a> <span class="vhdlkeyword">wire</span> [<span class="vhdllogic">4</span>:<span class="vhdllogic">0</span>] <a class="code" href="classvideo__priority.html#a21b6aa526d59e04a39e6edaa47d6349b">spr0_color</a>;
<a name="l00973"></a>00973 <span class="vhdlkeyword">assign</span> <a class="code" href="classvideo__priority.html#a21b6aa526d59e04a39e6edaa47d6349b">spr0_color</a> = { <span class="vhdllogic">1&#39;b1</span>, (<a class="code" href="classvideo__priority.html#aecf822613d701e9031c9470afe01a91a">sprite01_attached</a> == <span class="vhdllogic">1&#39;b1</span>)? <a class="code" href="classvideo__priority.html#a070c9901131e96b738842267ff15b633">sprite1_color</a>[<span class="vhdllogic">1</span>:<span class="vhdllogic">0</span>] : <span class="vhdllogic">2&#39;b00</span>, <a class="code" href="classvideo__priority.html#adbe3fde9009bd8e0d370e83f9b1abcca">sprite0_color</a>[<span class="vhdllogic">1</span>:<span class="vhdllogic">0</span>] };
<a name="l00974"></a><a class="code" href="classvideo__priority.html#a6ec710b325b08062b0f3605d28d44c34">00974</a> <span class="vhdlkeyword">wire</span> [<span class="vhdllogic">4</span>:<span class="vhdllogic">0</span>] <a class="code" href="classvideo__priority.html#a6ec710b325b08062b0f3605d28d44c34">spr1_color</a>;
<a name="l00975"></a>00975 <span class="vhdlkeyword">assign</span> <a class="code" href="classvideo__priority.html#a6ec710b325b08062b0f3605d28d44c34">spr1_color</a> = (<a class="code" href="classvideo__priority.html#aecf822613d701e9031c9470afe01a91a">sprite01_attached</a> == <span class="vhdllogic">1&#39;b1</span>)? <a class="code" href="classvideo__priority.html#a21b6aa526d59e04a39e6edaa47d6349b">spr0_color</a> : { <span class="vhdllogic">3&#39;b100</span>, <a class="code" href="classvideo__priority.html#a070c9901131e96b738842267ff15b633">sprite1_color</a>[<span class="vhdllogic">1</span>:<span class="vhdllogic">0</span>] };
<a name="l00976"></a>00976 
<a name="l00977"></a><a class="code" href="classvideo__priority.html#ac669a9e530ccd819fc3cde25f3d26e3c">00977</a> <span class="vhdlkeyword">wire</span> [<span class="vhdllogic">4</span>:<span class="vhdllogic">0</span>] <a class="code" href="classvideo__priority.html#ac669a9e530ccd819fc3cde25f3d26e3c">spr2_color</a>;
<a name="l00978"></a>00978 <span class="vhdlkeyword">assign</span> <a class="code" href="classvideo__priority.html#ac669a9e530ccd819fc3cde25f3d26e3c">spr2_color</a> = { <span class="vhdllogic">1&#39;b1</span>, (<a class="code" href="classvideo__priority.html#a5e8762b0191f6cfaa2624f684348e147">sprite23_attached</a> == <span class="vhdllogic">1&#39;b1</span>)? <a class="code" href="classvideo__priority.html#ae0220d1254d51986cd310cc9a475c041">sprite3_color</a>[<span class="vhdllogic">1</span>:<span class="vhdllogic">0</span>] : <span class="vhdllogic">2&#39;b01</span>, <a class="code" href="classvideo__priority.html#a8971e5ecd9c9dbca80e0c4597fac781d">sprite2_color</a>[<span class="vhdllogic">1</span>:<span class="vhdllogic">0</span>] };
<a name="l00979"></a><a class="code" href="classvideo__priority.html#a2804cc30378114b5919e8775a2eb47a3">00979</a> <span class="vhdlkeyword">wire</span> [<span class="vhdllogic">4</span>:<span class="vhdllogic">0</span>] <a class="code" href="classvideo__priority.html#a2804cc30378114b5919e8775a2eb47a3">spr3_color</a>;
<a name="l00980"></a>00980 <span class="vhdlkeyword">assign</span> <a class="code" href="classvideo__priority.html#a2804cc30378114b5919e8775a2eb47a3">spr3_color</a> = (<a class="code" href="classvideo__priority.html#a5e8762b0191f6cfaa2624f684348e147">sprite23_attached</a> == <span class="vhdllogic">1&#39;b1</span>)? <a class="code" href="classvideo__priority.html#ac669a9e530ccd819fc3cde25f3d26e3c">spr2_color</a> : { <span class="vhdllogic">3&#39;b101</span>, <a class="code" href="classvideo__priority.html#ae0220d1254d51986cd310cc9a475c041">sprite3_color</a>[<span class="vhdllogic">1</span>:<span class="vhdllogic">0</span>] };
<a name="l00981"></a>00981 
<a name="l00982"></a><a class="code" href="classvideo__priority.html#a5158153aa5a6b9eded1808ea19f9ae8c">00982</a> <span class="vhdlkeyword">wire</span> [<span class="vhdllogic">4</span>:<span class="vhdllogic">0</span>] <a class="code" href="classvideo__priority.html#a5158153aa5a6b9eded1808ea19f9ae8c">spr4_color</a>;
<a name="l00983"></a>00983 <span class="vhdlkeyword">assign</span> <a class="code" href="classvideo__priority.html#a5158153aa5a6b9eded1808ea19f9ae8c">spr4_color</a> = { <span class="vhdllogic">1&#39;b1</span>, (<a class="code" href="classvideo__priority.html#aa7c6b3a943e662e8b599a3bc53317931">sprite45_attached</a> == <span class="vhdllogic">1&#39;b1</span>)? <a class="code" href="classvideo__priority.html#a3ee643e15ccc8e3019c40f8a7e75e119">sprite5_color</a>[<span class="vhdllogic">1</span>:<span class="vhdllogic">0</span>] : <span class="vhdllogic">2&#39;b10</span>, <a class="code" href="classvideo__priority.html#a015664a80387fc6fd78a5022bb3255b0">sprite4_color</a>[<span class="vhdllogic">1</span>:<span class="vhdllogic">0</span>] };
<a name="l00984"></a><a class="code" href="classvideo__priority.html#a13b656cea4495f05f4ee2af67c5db94f">00984</a> <span class="vhdlkeyword">wire</span> [<span class="vhdllogic">4</span>:<span class="vhdllogic">0</span>] <a class="code" href="classvideo__priority.html#a13b656cea4495f05f4ee2af67c5db94f">spr5_color</a>;
<a name="l00985"></a>00985 <span class="vhdlkeyword">assign</span> <a class="code" href="classvideo__priority.html#a13b656cea4495f05f4ee2af67c5db94f">spr5_color</a> = (<a class="code" href="classvideo__priority.html#aa7c6b3a943e662e8b599a3bc53317931">sprite45_attached</a> == <span class="vhdllogic">1&#39;b1</span>)? <a class="code" href="classvideo__priority.html#a5158153aa5a6b9eded1808ea19f9ae8c">spr4_color</a> : { <span class="vhdllogic">3&#39;b110</span>, <a class="code" href="classvideo__priority.html#a3ee643e15ccc8e3019c40f8a7e75e119">sprite5_color</a>[<span class="vhdllogic">1</span>:<span class="vhdllogic">0</span>] };
<a name="l00986"></a>00986 
<a name="l00987"></a><a class="code" href="classvideo__priority.html#a4c1b1c4989d3f2cae74288caa9f4e4f6">00987</a> <span class="vhdlkeyword">wire</span> [<span class="vhdllogic">4</span>:<span class="vhdllogic">0</span>] <a class="code" href="classvideo__priority.html#a4c1b1c4989d3f2cae74288caa9f4e4f6">spr6_color</a>;
<a name="l00988"></a>00988 <span class="vhdlkeyword">assign</span> <a class="code" href="classvideo__priority.html#a4c1b1c4989d3f2cae74288caa9f4e4f6">spr6_color</a> = { <span class="vhdllogic">1&#39;b1</span>, (<a class="code" href="classvideo__priority.html#a16cc281a627fa556a5a8ea7c85757de3">sprite67_attached</a> == <span class="vhdllogic">1&#39;b1</span>)? <a class="code" href="classvideo__priority.html#a312be0dfa63120582af9c5e1a086e90f">sprite7_color</a>[<span class="vhdllogic">1</span>:<span class="vhdllogic">0</span>] : <span class="vhdllogic">2&#39;b11</span>, <a class="code" href="classvideo__priority.html#ae6ad889c92d0b5cd13ed7c8c7bb007ec">sprite6_color</a>[<span class="vhdllogic">1</span>:<span class="vhdllogic">0</span>] };
<a name="l00989"></a><a class="code" href="classvideo__priority.html#a68a41026a3fd8faed0fec2a651f33b0b">00989</a> <span class="vhdlkeyword">wire</span> [<span class="vhdllogic">4</span>:<span class="vhdllogic">0</span>] <a class="code" href="classvideo__priority.html#a68a41026a3fd8faed0fec2a651f33b0b">spr7_color</a>;
<a name="l00990"></a>00990 <span class="vhdlkeyword">assign</span> <a class="code" href="classvideo__priority.html#a68a41026a3fd8faed0fec2a651f33b0b">spr7_color</a> = (<a class="code" href="classvideo__priority.html#a16cc281a627fa556a5a8ea7c85757de3">sprite67_attached</a> == <span class="vhdllogic">1&#39;b1</span>)? <a class="code" href="classvideo__priority.html#a4c1b1c4989d3f2cae74288caa9f4e4f6">spr6_color</a> : { <span class="vhdllogic">3&#39;b111</span>, <a class="code" href="classvideo__priority.html#a312be0dfa63120582af9c5e1a086e90f">sprite7_color</a>[<span class="vhdllogic">1</span>:<span class="vhdllogic">0</span>] };
<a name="l00991"></a>00991 
<a name="l00992"></a><a class="code" href="classvideo__priority.html#af57073dd81b047c027d884bce7502867">00992</a> <span class="vhdlkeyword">wire</span> <a class="code" href="classvideo__priority.html#af57073dd81b047c027d884bce7502867">sprite01_exists</a>;
<a name="l00993"></a>00993 <span class="vhdlkeyword">assign</span> <a class="code" href="classvideo__priority.html#af57073dd81b047c027d884bce7502867">sprite01_exists</a> = (<a class="code" href="classvideo__priority.html#adbe3fde9009bd8e0d370e83f9b1abcca">sprite0_color</a>[<span class="vhdllogic">1</span>:<span class="vhdllogic">0</span>] != <span class="vhdllogic">2&#39;b00</span> || <a class="code" href="classvideo__priority.html#a070c9901131e96b738842267ff15b633">sprite1_color</a>[<span class="vhdllogic">1</span>:<span class="vhdllogic">0</span>] != <span class="vhdllogic">2&#39;b00</span>);
<a name="l00994"></a><a class="code" href="classvideo__priority.html#ab9b3f9a5796d6615088dd4e531b80075">00994</a> <span class="vhdlkeyword">wire</span> <a class="code" href="classvideo__priority.html#ab9b3f9a5796d6615088dd4e531b80075">sprite23_exists</a>;
<a name="l00995"></a>00995 <span class="vhdlkeyword">assign</span> <a class="code" href="classvideo__priority.html#ab9b3f9a5796d6615088dd4e531b80075">sprite23_exists</a> = (<a class="code" href="classvideo__priority.html#a8971e5ecd9c9dbca80e0c4597fac781d">sprite2_color</a>[<span class="vhdllogic">1</span>:<span class="vhdllogic">0</span>] != <span class="vhdllogic">2&#39;b00</span> || <a class="code" href="classvideo__priority.html#ae0220d1254d51986cd310cc9a475c041">sprite3_color</a>[<span class="vhdllogic">1</span>:<span class="vhdllogic">0</span>] != <span class="vhdllogic">2&#39;b00</span>);
<a name="l00996"></a><a class="code" href="classvideo__priority.html#a05a490ab62dc5090bdee2428a5b73c13">00996</a> <span class="vhdlkeyword">wire</span> <a class="code" href="classvideo__priority.html#a05a490ab62dc5090bdee2428a5b73c13">sprite45_exists</a>;
<a name="l00997"></a>00997 <span class="vhdlkeyword">assign</span> <a class="code" href="classvideo__priority.html#a05a490ab62dc5090bdee2428a5b73c13">sprite45_exists</a> = (<a class="code" href="classvideo__priority.html#a015664a80387fc6fd78a5022bb3255b0">sprite4_color</a>[<span class="vhdllogic">1</span>:<span class="vhdllogic">0</span>] != <span class="vhdllogic">2&#39;b00</span> || <a class="code" href="classvideo__priority.html#a3ee643e15ccc8e3019c40f8a7e75e119">sprite5_color</a>[<span class="vhdllogic">1</span>:<span class="vhdllogic">0</span>] != <span class="vhdllogic">2&#39;b00</span>);
<a name="l00998"></a><a class="code" href="classvideo__priority.html#a177895c9d78bd36dd1838e6b85f6ed86">00998</a> <span class="vhdlkeyword">wire</span> <a class="code" href="classvideo__priority.html#a177895c9d78bd36dd1838e6b85f6ed86">sprite67_exists</a>;
<a name="l00999"></a>00999 <span class="vhdlkeyword">assign</span> <a class="code" href="classvideo__priority.html#a177895c9d78bd36dd1838e6b85f6ed86">sprite67_exists</a> = (<a class="code" href="classvideo__priority.html#ae6ad889c92d0b5cd13ed7c8c7bb007ec">sprite6_color</a>[<span class="vhdllogic">1</span>:<span class="vhdllogic">0</span>] != <span class="vhdllogic">2&#39;b00</span> || <a class="code" href="classvideo__priority.html#a312be0dfa63120582af9c5e1a086e90f">sprite7_color</a>[<span class="vhdllogic">1</span>:<span class="vhdllogic">0</span>] != <span class="vhdllogic">2&#39;b00</span>);
<a name="l01000"></a>01000 
<a name="l01001"></a><a class="code" href="classvideo__priority.html#aa8f7db882572bed324d9c626ce3f1d62">01001</a> <span class="vhdlkeyword">wire</span> [<span class="vhdllogic">4</span>:<span class="vhdllogic">0</span>] <a class="code" href="classvideo__priority.html#aa8f7db882572bed324d9c626ce3f1d62">pf1_color</a>;
<a name="l01002"></a>01002 <span class="vhdlkeyword">assign</span> <a class="code" href="classvideo__priority.html#aa8f7db882572bed324d9c626ce3f1d62">pf1_color</a> = { <span class="vhdllogic">2&#39;b0</span>, <a class="code" href="classvideo__priority.html#a0f86a68c77f2f1bb4f0f028067d5609e">bpl_color</a>[<span class="vhdllogic">4</span>], <a class="code" href="classvideo__priority.html#a0f86a68c77f2f1bb4f0f028067d5609e">bpl_color</a>[<span class="vhdllogic">2</span>], <a class="code" href="classvideo__priority.html#a0f86a68c77f2f1bb4f0f028067d5609e">bpl_color</a>[<span class="vhdllogic">0</span>] };
<a name="l01003"></a>01003 
<a name="l01004"></a>01004 <span class="keyword">// Dual playfield: bpl_con0[5] == 1&#39;b1</span>
<a name="l01005"></a><a class="code" href="classvideo__priority.html#a9e390f30ba238aefb46ae95f14511af1">01005</a> <span class="vhdlkeyword">wire</span> [<span class="vhdllogic">4</span>:<span class="vhdllogic">0</span>] <a class="code" href="classvideo__priority.html#a9e390f30ba238aefb46ae95f14511af1">pf2_color</a>;
<a name="l01006"></a>01006 <span class="vhdlkeyword">assign</span> <a class="code" href="classvideo__priority.html#a9e390f30ba238aefb46ae95f14511af1">pf2_color</a> = (<a class="code" href="classvideo__priority.html#a7180ae8eca8a1069b932250dd2668f92">bpl_con0</a>[<span class="vhdllogic">5</span>] == <span class="vhdllogic">1&#39;b1</span>) ? { <span class="vhdllogic">2&#39;b1</span>, <a class="code" href="classvideo__priority.html#a0f86a68c77f2f1bb4f0f028067d5609e">bpl_color</a>[<span class="vhdllogic">5</span>], <a class="code" href="classvideo__priority.html#a0f86a68c77f2f1bb4f0f028067d5609e">bpl_color</a>[<span class="vhdllogic">3</span>], <a class="code" href="classvideo__priority.html#a0f86a68c77f2f1bb4f0f028067d5609e">bpl_color</a>[<span class="vhdllogic">1</span>] } : <a class="code" href="classvideo__priority.html#a0f86a68c77f2f1bb4f0f028067d5609e">bpl_color</a>[<span class="vhdllogic">4</span>:<span class="vhdllogic">0</span>];
<a name="l01007"></a>01007 
<a name="l01008"></a>01008 <span class="keyword">// bpl_con2[6] PF2PRI</span>
<a name="l01009"></a><a class="code" href="classvideo__priority.html#a5ebeb09a73200226fd21a727638d2dce">01009</a> <span class="vhdlkeyword">wire</span> <a class="code" href="classvideo__priority.html#a5ebeb09a73200226fd21a727638d2dce">pf1_exists</a>;
<a name="l01010"></a>01010 <span class="vhdlkeyword">assign</span> <a class="code" href="classvideo__priority.html#a5ebeb09a73200226fd21a727638d2dce">pf1_exists</a> = (<a class="code" href="classvideo__priority.html#a7180ae8eca8a1069b932250dd2668f92">bpl_con0</a>[<span class="vhdllogic">5</span>] == <span class="vhdllogic">1&#39;b1</span>) ?
<a name="l01011"></a>01011     ((<a class="code" href="classvideo__priority.html#a3edf8c75f1b3f4cecb690f098c94885a">bpl_con2</a>[<span class="vhdllogic">6</span>] == <span class="vhdllogic">1&#39;b0</span>) ? (<a class="code" href="classvideo__priority.html#aa8f7db882572bed324d9c626ce3f1d62">pf1_color</a>[<span class="vhdllogic">2</span>:<span class="vhdllogic">0</span>] != <span class="vhdllogic">3&#39;d0</span>) : ((<a class="code" href="classvideo__priority.html#a9e390f30ba238aefb46ae95f14511af1">pf2_color</a>[<span class="vhdllogic">2</span>:<span class="vhdllogic">0</span>] != <span class="vhdllogic">3&#39;d0</span>) ? <span class="vhdllogic">1&#39;b0</span> : (<a class="code" href="classvideo__priority.html#aa8f7db882572bed324d9c626ce3f1d62">pf1_color</a>[<span class="vhdllogic">2</span>:<span class="vhdllogic">0</span>] != <span class="vhdllogic">3&#39;d0</span>))) :
<a name="l01012"></a>01012     <span class="vhdllogic">1&#39;b0</span>;
<a name="l01013"></a>01013 
<a name="l01014"></a><a class="code" href="classvideo__priority.html#a4bf35763e2d705cf8f347445ce96327a">01014</a> <span class="vhdlkeyword">wire</span> <a class="code" href="classvideo__priority.html#a4bf35763e2d705cf8f347445ce96327a">pf2_exists</a>;
<a name="l01015"></a>01015 <span class="vhdlkeyword">assign</span> <a class="code" href="classvideo__priority.html#a4bf35763e2d705cf8f347445ce96327a">pf2_exists</a> = (<a class="code" href="classvideo__priority.html#a7180ae8eca8a1069b932250dd2668f92">bpl_con0</a>[<span class="vhdllogic">5</span>] == <span class="vhdllogic">1&#39;b1</span>) ?
<a name="l01016"></a>01016     ((<a class="code" href="classvideo__priority.html#a3edf8c75f1b3f4cecb690f098c94885a">bpl_con2</a>[<span class="vhdllogic">6</span>] == <span class="vhdllogic">1&#39;b1</span>) ? (<a class="code" href="classvideo__priority.html#a9e390f30ba238aefb46ae95f14511af1">pf2_color</a>[<span class="vhdllogic">2</span>:<span class="vhdllogic">0</span>] != <span class="vhdllogic">3&#39;d0</span>) : ((<a class="code" href="classvideo__priority.html#aa8f7db882572bed324d9c626ce3f1d62">pf1_color</a>[<span class="vhdllogic">2</span>:<span class="vhdllogic">0</span>] != <span class="vhdllogic">3&#39;d0</span>) ? <span class="vhdllogic">1&#39;b0</span> : (<a class="code" href="classvideo__priority.html#a9e390f30ba238aefb46ae95f14511af1">pf2_color</a>[<span class="vhdllogic">2</span>:<span class="vhdllogic">0</span>] != <span class="vhdllogic">3&#39;d0</span>))) :
<a name="l01017"></a>01017     (<a class="code" href="classvideo__priority.html#a9e390f30ba238aefb46ae95f14511af1">pf2_color</a>[<span class="vhdllogic">4</span>:<span class="vhdllogic">0</span>] != <span class="vhdllogic">5&#39;d0</span>);
<a name="l01018"></a>01018 
<a name="l01019"></a><a class="code" href="classvideo__priority.html#a0f2174fc4faee6655177982538f7b8ee">01019</a> <span class="vhdlkeyword">wire</span> [<span class="vhdllogic">4</span>:<span class="vhdllogic">0</span>] <a class="code" href="classvideo__priority.html#a0f2174fc4faee6655177982538f7b8ee">final_color</a>;
<a name="l01020"></a>01020 <span class="vhdlkeyword">assign</span> <a class="code" href="classvideo__priority.html#a0f2174fc4faee6655177982538f7b8ee">final_color</a> =
<a name="l01021"></a>01021     (<a class="code" href="classvideo__priority.html#a5ebeb09a73200226fd21a727638d2dce">pf1_exists</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a3edf8c75f1b3f4cecb690f098c94885a">bpl_con2</a>[<span class="vhdllogic">2</span>:<span class="vhdllogic">0</span>] == <span class="vhdllogic">3&#39;d0</span>) ? <a class="code" href="classvideo__priority.html#aa8f7db882572bed324d9c626ce3f1d62">pf1_color</a> :
<a name="l01022"></a>01022     (<a class="code" href="classvideo__priority.html#a4bf35763e2d705cf8f347445ce96327a">pf2_exists</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a3edf8c75f1b3f4cecb690f098c94885a">bpl_con2</a>[<span class="vhdllogic">5</span>:<span class="vhdllogic">3</span>] == <span class="vhdllogic">3&#39;d0</span>) ? <a class="code" href="classvideo__priority.html#a9e390f30ba238aefb46ae95f14511af1">pf2_color</a> :
<a name="l01023"></a>01023     (<a class="code" href="classvideo__priority.html#af57073dd81b047c027d884bce7502867">sprite01_exists</a>) ? ((<a class="code" href="classvideo__priority.html#adbe3fde9009bd8e0d370e83f9b1abcca">sprite0_color</a>[<span class="vhdllogic">1</span>:<span class="vhdllogic">0</span>] != <span class="vhdllogic">2&#39;b00</span>) ? <a class="code" href="classvideo__priority.html#a21b6aa526d59e04a39e6edaa47d6349b">spr0_color</a> : <a class="code" href="classvideo__priority.html#a6ec710b325b08062b0f3605d28d44c34">spr1_color</a>) :
<a name="l01024"></a>01024     (<a class="code" href="classvideo__priority.html#a5ebeb09a73200226fd21a727638d2dce">pf1_exists</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a3edf8c75f1b3f4cecb690f098c94885a">bpl_con2</a>[<span class="vhdllogic">2</span>:<span class="vhdllogic">0</span>] == <span class="vhdllogic">3&#39;d1</span>) ? <a class="code" href="classvideo__priority.html#aa8f7db882572bed324d9c626ce3f1d62">pf1_color</a> :
<a name="l01025"></a>01025     (<a class="code" href="classvideo__priority.html#a4bf35763e2d705cf8f347445ce96327a">pf2_exists</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a3edf8c75f1b3f4cecb690f098c94885a">bpl_con2</a>[<span class="vhdllogic">5</span>:<span class="vhdllogic">3</span>] == <span class="vhdllogic">3&#39;d1</span>) ? <a class="code" href="classvideo__priority.html#a9e390f30ba238aefb46ae95f14511af1">pf2_color</a> :
<a name="l01026"></a>01026     (<a class="code" href="classvideo__priority.html#ab9b3f9a5796d6615088dd4e531b80075">sprite23_exists</a>) ? ((<a class="code" href="classvideo__priority.html#a8971e5ecd9c9dbca80e0c4597fac781d">sprite2_color</a>[<span class="vhdllogic">1</span>:<span class="vhdllogic">0</span>] != <span class="vhdllogic">2&#39;b00</span>) ? <a class="code" href="classvideo__priority.html#ac669a9e530ccd819fc3cde25f3d26e3c">spr2_color</a> : <a class="code" href="classvideo__priority.html#a2804cc30378114b5919e8775a2eb47a3">spr3_color</a>) :
<a name="l01027"></a>01027     (<a class="code" href="classvideo__priority.html#a5ebeb09a73200226fd21a727638d2dce">pf1_exists</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a3edf8c75f1b3f4cecb690f098c94885a">bpl_con2</a>[<span class="vhdllogic">2</span>:<span class="vhdllogic">0</span>] == <span class="vhdllogic">3&#39;d2</span>) ? <a class="code" href="classvideo__priority.html#aa8f7db882572bed324d9c626ce3f1d62">pf1_color</a> :
<a name="l01028"></a>01028     (<a class="code" href="classvideo__priority.html#a4bf35763e2d705cf8f347445ce96327a">pf2_exists</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a3edf8c75f1b3f4cecb690f098c94885a">bpl_con2</a>[<span class="vhdllogic">5</span>:<span class="vhdllogic">3</span>] == <span class="vhdllogic">3&#39;d2</span>) ? <a class="code" href="classvideo__priority.html#a9e390f30ba238aefb46ae95f14511af1">pf2_color</a> :
<a name="l01029"></a>01029     (<a class="code" href="classvideo__priority.html#a05a490ab62dc5090bdee2428a5b73c13">sprite45_exists</a>) ? ((<a class="code" href="classvideo__priority.html#a015664a80387fc6fd78a5022bb3255b0">sprite4_color</a>[<span class="vhdllogic">1</span>:<span class="vhdllogic">0</span>] != <span class="vhdllogic">2&#39;b00</span>) ? <a class="code" href="classvideo__priority.html#a5158153aa5a6b9eded1808ea19f9ae8c">spr4_color</a> : <a class="code" href="classvideo__priority.html#a13b656cea4495f05f4ee2af67c5db94f">spr5_color</a>) : 
<a name="l01030"></a>01030     (<a class="code" href="classvideo__priority.html#a5ebeb09a73200226fd21a727638d2dce">pf1_exists</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a3edf8c75f1b3f4cecb690f098c94885a">bpl_con2</a>[<span class="vhdllogic">2</span>:<span class="vhdllogic">0</span>] == <span class="vhdllogic">3&#39;d3</span>) ? <a class="code" href="classvideo__priority.html#aa8f7db882572bed324d9c626ce3f1d62">pf1_color</a> :
<a name="l01031"></a>01031     (<a class="code" href="classvideo__priority.html#a4bf35763e2d705cf8f347445ce96327a">pf2_exists</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a3edf8c75f1b3f4cecb690f098c94885a">bpl_con2</a>[<span class="vhdllogic">5</span>:<span class="vhdllogic">3</span>] == <span class="vhdllogic">3&#39;d3</span>) ? <a class="code" href="classvideo__priority.html#a9e390f30ba238aefb46ae95f14511af1">pf2_color</a> :
<a name="l01032"></a>01032     (<a class="code" href="classvideo__priority.html#a177895c9d78bd36dd1838e6b85f6ed86">sprite67_exists</a>) ? ((<a class="code" href="classvideo__priority.html#ae6ad889c92d0b5cd13ed7c8c7bb007ec">sprite6_color</a>[<span class="vhdllogic">1</span>:<span class="vhdllogic">0</span>] != <span class="vhdllogic">2&#39;b00</span>) ? <a class="code" href="classvideo__priority.html#a4c1b1c4989d3f2cae74288caa9f4e4f6">spr6_color</a> : <a class="code" href="classvideo__priority.html#a68a41026a3fd8faed0fec2a651f33b0b">spr7_color</a>) :
<a name="l01033"></a>01033     (<a class="code" href="classvideo__priority.html#a5ebeb09a73200226fd21a727638d2dce">pf1_exists</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a3edf8c75f1b3f4cecb690f098c94885a">bpl_con2</a>[<span class="vhdllogic">2</span>:<span class="vhdllogic">0</span>] == <span class="vhdllogic">3&#39;d4</span>) ? <a class="code" href="classvideo__priority.html#aa8f7db882572bed324d9c626ce3f1d62">pf1_color</a> :
<a name="l01034"></a>01034     (<a class="code" href="classvideo__priority.html#a4bf35763e2d705cf8f347445ce96327a">pf2_exists</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a3edf8c75f1b3f4cecb690f098c94885a">bpl_con2</a>[<span class="vhdllogic">5</span>:<span class="vhdllogic">3</span>] == <span class="vhdllogic">3&#39;d4</span>) ? <a class="code" href="classvideo__priority.html#a9e390f30ba238aefb46ae95f14511af1">pf2_color</a> :
<a name="l01035"></a>01035     <span class="vhdllogic">4&#39;d0</span>;
<a name="l01036"></a>01036 
<a name="l01037"></a>01037 <span class="keyword">// HAM mode</span>
<a name="l01038"></a>01038 <span class="keyword">// - Hold and Modify mode, 4096 colors on screen at once, 6-bit pixel: 2-bit control + 4-bit data:</span>
<a name="l01039"></a>01039 <span class="keyword">//            - set[6&#39;b00DDDD bpl654321](data - regular color lookup 0-15)</span>
<a name="l01040"></a>01040 <span class="keyword">//            - modify-red 6&#39;b01DDDDDD, modify-green 6&#39;b10DDDDDD, modify-blue 6&#39;b11DDDDDD (data - modify that component, leave rest unchanged)</span>
<a name="l01041"></a>01041 <span class="keyword">// EHB mode </span>
<a name="l01042"></a><a class="code" href="classvideo__priority.html#af1171a6539b2d5fa4c4f01f5f2ab8fbc">01042</a> <span class="vhdlkeyword">wire</span> <a class="code" href="classvideo__priority.html#af1171a6539b2d5fa4c4f01f5f2ab8fbc">ham_enabled</a>;
<a name="l01043"></a>01043 <span class="vhdlkeyword">assign</span> <a class="code" href="classvideo__priority.html#af1171a6539b2d5fa4c4f01f5f2ab8fbc">ham_enabled</a> = (<a class="code" href="classvideo__priority.html#a7180ae8eca8a1069b932250dd2668f92">bpl_con0</a>[<span class="vhdllogic">6</span>] == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a7180ae8eca8a1069b932250dd2668f92">bpl_con0</a>[<span class="vhdllogic">5</span>] == <span class="vhdllogic">1&#39;b0</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a7180ae8eca8a1069b932250dd2668f92">bpl_con0</a>[<span class="vhdllogic">10</span>] == <span class="vhdllogic">1&#39;b0</span> &amp;&amp; (<a class="code" href="classvideo__priority.html#a7180ae8eca8a1069b932250dd2668f92">bpl_con0</a>[<span class="vhdllogic">9</span>:<span class="vhdllogic">7</span>] == <span class="vhdllogic">3&#39;d6</span> || <a class="code" href="classvideo__priority.html#a7180ae8eca8a1069b932250dd2668f92">bpl_con0</a>[<span class="vhdllogic">9</span>:<span class="vhdllogic">7</span>] == <span class="vhdllogic">3&#39;d5</span>));
<a name="l01044"></a><a class="code" href="classvideo__priority.html#a0daf3f90033c09360f16670d60718256">01044</a> <span class="vhdlkeyword">wire</span> <a class="code" href="classvideo__priority.html#a0daf3f90033c09360f16670d60718256">ehb_enabled</a>;
<a name="l01045"></a>01045 <span class="vhdlkeyword">assign</span> <a class="code" href="classvideo__priority.html#a0daf3f90033c09360f16670d60718256">ehb_enabled</a> = (<a class="code" href="classvideo__priority.html#a7180ae8eca8a1069b932250dd2668f92">bpl_con0</a>[<span class="vhdllogic">6</span>] == <span class="vhdllogic">1&#39;b0</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a7180ae8eca8a1069b932250dd2668f92">bpl_con0</a>[<span class="vhdllogic">5</span>] == <span class="vhdllogic">1&#39;b0</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a7180ae8eca8a1069b932250dd2668f92">bpl_con0</a>[<span class="vhdllogic">10</span>] == <span class="vhdllogic">1&#39;b0</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a7180ae8eca8a1069b932250dd2668f92">bpl_con0</a>[<span class="vhdllogic">9</span>:<span class="vhdllogic">7</span>] == <span class="vhdllogic">3&#39;d6</span>);
<a name="l01046"></a>01046 
<a name="l01047"></a><a class="code" href="classvideo__priority.html#aecac73d66299b70f1843e71544ee145c">01047</a> <span class="vhdlkeyword">wire</span> [<span class="vhdllogic">11</span>:<span class="vhdllogic">0</span>] <a class="code" href="classvideo__priority.html#aecac73d66299b70f1843e71544ee145c">color_value_before_ehb</a>;
<a name="l01048"></a>01048 <span class="vhdlkeyword">assign</span> <a class="code" href="classvideo__priority.html#aecac73d66299b70f1843e71544ee145c">color_value_before_ehb</a> =
<a name="l01049"></a>01049     (<a class="code" href="classvideo__priority.html#af1171a6539b2d5fa4c4f01f5f2ab8fbc">ham_enabled</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a0f86a68c77f2f1bb4f0f028067d5609e">bpl_color</a>[<span class="vhdllogic">5</span>:<span class="vhdllogic">4</span>] == <span class="vhdllogic">2&#39;b01</span>) ? { <a class="code" href="classvideo__priority.html#a0f86a68c77f2f1bb4f0f028067d5609e">bpl_color</a>[<span class="vhdllogic">3</span>:<span class="vhdllogic">0</span>], <a class="code" href="classvideo__priority.html#a9c101ab7f14db73a1aadaa4dccea67e1">last_color_value</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>] } :
<a name="l01050"></a>01050     (<a class="code" href="classvideo__priority.html#af1171a6539b2d5fa4c4f01f5f2ab8fbc">ham_enabled</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a0f86a68c77f2f1bb4f0f028067d5609e">bpl_color</a>[<span class="vhdllogic">5</span>:<span class="vhdllogic">4</span>] == <span class="vhdllogic">2&#39;b10</span>) ? { <a class="code" href="classvideo__priority.html#a9c101ab7f14db73a1aadaa4dccea67e1">last_color_value</a>[<span class="vhdllogic">11</span>:<span class="vhdllogic">8</span>], <a class="code" href="classvideo__priority.html#a0f86a68c77f2f1bb4f0f028067d5609e">bpl_color</a>[<span class="vhdllogic">3</span>:<span class="vhdllogic">0</span>], <a class="code" href="classvideo__priority.html#a9c101ab7f14db73a1aadaa4dccea67e1">last_color_value</a>[<span class="vhdllogic">3</span>:<span class="vhdllogic">0</span>] } :
<a name="l01051"></a>01051     (<a class="code" href="classvideo__priority.html#af1171a6539b2d5fa4c4f01f5f2ab8fbc">ham_enabled</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a0f86a68c77f2f1bb4f0f028067d5609e">bpl_color</a>[<span class="vhdllogic">5</span>:<span class="vhdllogic">4</span>] == <span class="vhdllogic">2&#39;b11</span>) ? { <a class="code" href="classvideo__priority.html#a9c101ab7f14db73a1aadaa4dccea67e1">last_color_value</a>[<span class="vhdllogic">11</span>:<span class="vhdllogic">4</span>], <a class="code" href="classvideo__priority.html#a0f86a68c77f2f1bb4f0f028067d5609e">bpl_color</a>[<span class="vhdllogic">3</span>:<span class="vhdllogic">0</span>] } :
<a name="l01052"></a>01052     (<a class="code" href="classvideo__priority.html#a0f2174fc4faee6655177982538f7b8ee">final_color</a> == <span class="vhdllogic">5&#39;d0</span>) ? <a class="code" href="classvideo__priority.html#a14f038a8cc5565f1d87e6d913a950561">color00</a> :
<a name="l01053"></a>01053     (<a class="code" href="classvideo__priority.html#a0f2174fc4faee6655177982538f7b8ee">final_color</a> == <span class="vhdllogic">5&#39;d1</span>) ? <a class="code" href="classvideo__priority.html#a6737e9fc15551e32c72be0ebbef5a0ac">color01</a> :
<a name="l01054"></a>01054     (<a class="code" href="classvideo__priority.html#a0f2174fc4faee6655177982538f7b8ee">final_color</a> == <span class="vhdllogic">5&#39;d2</span>) ? <a class="code" href="classvideo__priority.html#a19e2df555129210e2942825a431ecaee">color02</a> :
<a name="l01055"></a>01055     (<a class="code" href="classvideo__priority.html#a0f2174fc4faee6655177982538f7b8ee">final_color</a> == <span class="vhdllogic">5&#39;d3</span>) ? <a class="code" href="classvideo__priority.html#a22d9628448c901b413c52042a4219680">color03</a> :
<a name="l01056"></a>01056     (<a class="code" href="classvideo__priority.html#a0f2174fc4faee6655177982538f7b8ee">final_color</a> == <span class="vhdllogic">5&#39;d4</span>) ? <a class="code" href="classvideo__priority.html#aa0c267b5c817534e14c52d3dc15bbfd9">color04</a> :
<a name="l01057"></a>01057     (<a class="code" href="classvideo__priority.html#a0f2174fc4faee6655177982538f7b8ee">final_color</a> == <span class="vhdllogic">5&#39;d5</span>) ? <a class="code" href="classvideo__priority.html#a6f5a91200e58aadf1955eaa4c33bc3d1">color05</a> :
<a name="l01058"></a>01058     (<a class="code" href="classvideo__priority.html#a0f2174fc4faee6655177982538f7b8ee">final_color</a> == <span class="vhdllogic">5&#39;d6</span>) ? <a class="code" href="classvideo__priority.html#a2bfc5024cfa202ea1f2797b123ee924b">color06</a> :
<a name="l01059"></a>01059     (<a class="code" href="classvideo__priority.html#a0f2174fc4faee6655177982538f7b8ee">final_color</a> == <span class="vhdllogic">5&#39;d7</span>) ? <a class="code" href="classvideo__priority.html#a589b5e24f77a7928e2c9b1b96b40907c">color07</a> :
<a name="l01060"></a>01060     (<a class="code" href="classvideo__priority.html#a0f2174fc4faee6655177982538f7b8ee">final_color</a> == <span class="vhdllogic">5&#39;d8</span>) ? <a class="code" href="classvideo__priority.html#a29e5c9c11f890dbfbe08a3aaec40d79d">color08</a> :
<a name="l01061"></a>01061     (<a class="code" href="classvideo__priority.html#a0f2174fc4faee6655177982538f7b8ee">final_color</a> == <span class="vhdllogic">5&#39;d9</span>) ? <a class="code" href="classvideo__priority.html#adc014d0a5986e9894d68a8c3c2fcb5fd">color09</a> :
<a name="l01062"></a>01062     (<a class="code" href="classvideo__priority.html#a0f2174fc4faee6655177982538f7b8ee">final_color</a> == <span class="vhdllogic">5&#39;d10</span>) ? <a class="code" href="classvideo__priority.html#ac8180e404a25c8f55ce79568f604d3d9">color10</a> :
<a name="l01063"></a>01063     (<a class="code" href="classvideo__priority.html#a0f2174fc4faee6655177982538f7b8ee">final_color</a> == <span class="vhdllogic">5&#39;d11</span>) ? <a class="code" href="classvideo__priority.html#a04d781dcbaeb50edf04ac552b4393bf6">color11</a> :
<a name="l01064"></a>01064     (<a class="code" href="classvideo__priority.html#a0f2174fc4faee6655177982538f7b8ee">final_color</a> == <span class="vhdllogic">5&#39;d12</span>) ? <a class="code" href="classvideo__priority.html#a29d644ce487f13506da5e4028f24c584">color12</a> :
<a name="l01065"></a>01065     (<a class="code" href="classvideo__priority.html#a0f2174fc4faee6655177982538f7b8ee">final_color</a> == <span class="vhdllogic">5&#39;d13</span>) ? <a class="code" href="classvideo__priority.html#a61934dfdd62a3f9ab27b2b6e14799ca2">color13</a> :
<a name="l01066"></a>01066     (<a class="code" href="classvideo__priority.html#a0f2174fc4faee6655177982538f7b8ee">final_color</a> == <span class="vhdllogic">5&#39;d14</span>) ? <a class="code" href="classvideo__priority.html#a26adb7f2132fcbb2606d0b00b20ffa26">color14</a> :
<a name="l01067"></a>01067     (<a class="code" href="classvideo__priority.html#a0f2174fc4faee6655177982538f7b8ee">final_color</a> == <span class="vhdllogic">5&#39;d15</span>) ? <a class="code" href="classvideo__priority.html#a376f93185d81040eb60bf48a1596fbdb">color15</a> :
<a name="l01068"></a>01068     (<a class="code" href="classvideo__priority.html#a0f2174fc4faee6655177982538f7b8ee">final_color</a> == <span class="vhdllogic">5&#39;d16</span>) ? <a class="code" href="classvideo__priority.html#a0aa3758b46b51f6a0cba127914666b1b">color16</a> :
<a name="l01069"></a>01069     (<a class="code" href="classvideo__priority.html#a0f2174fc4faee6655177982538f7b8ee">final_color</a> == <span class="vhdllogic">5&#39;d17</span>) ? <a class="code" href="classvideo__priority.html#a29cac67e40ced08943db02d4dd7361c0">color17</a> :
<a name="l01070"></a>01070     (<a class="code" href="classvideo__priority.html#a0f2174fc4faee6655177982538f7b8ee">final_color</a> == <span class="vhdllogic">5&#39;d18</span>) ? <a class="code" href="classvideo__priority.html#a06d9bf6c77787115709f899ca71d2ea6">color18</a> :
<a name="l01071"></a>01071     (<a class="code" href="classvideo__priority.html#a0f2174fc4faee6655177982538f7b8ee">final_color</a> == <span class="vhdllogic">5&#39;d19</span>) ? <a class="code" href="classvideo__priority.html#a92f3e2f799f0cb0562b99f96448050a2">color19</a> :
<a name="l01072"></a>01072     (<a class="code" href="classvideo__priority.html#a0f2174fc4faee6655177982538f7b8ee">final_color</a> == <span class="vhdllogic">5&#39;d20</span>) ? <a class="code" href="classvideo__priority.html#ad25aa68683b8982f07ad62d8210c2862">color20</a> :
<a name="l01073"></a>01073     (<a class="code" href="classvideo__priority.html#a0f2174fc4faee6655177982538f7b8ee">final_color</a> == <span class="vhdllogic">5&#39;d21</span>) ? <a class="code" href="classvideo__priority.html#a4ce788e6baa223b539ac70a2b26cce78">color21</a> :
<a name="l01074"></a>01074     (<a class="code" href="classvideo__priority.html#a0f2174fc4faee6655177982538f7b8ee">final_color</a> == <span class="vhdllogic">5&#39;d22</span>) ? <a class="code" href="classvideo__priority.html#ae01ee36a38fda5be416ba46bd4c1e59b">color22</a> :
<a name="l01075"></a>01075     (<a class="code" href="classvideo__priority.html#a0f2174fc4faee6655177982538f7b8ee">final_color</a> == <span class="vhdllogic">5&#39;d23</span>) ? <a class="code" href="classvideo__priority.html#aca4c1b490797abd17be5f3bb0e7c2eaf">color23</a> :
<a name="l01076"></a>01076     (<a class="code" href="classvideo__priority.html#a0f2174fc4faee6655177982538f7b8ee">final_color</a> == <span class="vhdllogic">5&#39;d24</span>) ? <a class="code" href="classvideo__priority.html#ae8b790fffbce469347f7b383aea7f46e">color24</a> :
<a name="l01077"></a>01077     (<a class="code" href="classvideo__priority.html#a0f2174fc4faee6655177982538f7b8ee">final_color</a> == <span class="vhdllogic">5&#39;d25</span>) ? <a class="code" href="classvideo__priority.html#ac29d6732d6fdd90a1643c679ceda91a5">color25</a> :
<a name="l01078"></a>01078     (<a class="code" href="classvideo__priority.html#a0f2174fc4faee6655177982538f7b8ee">final_color</a> == <span class="vhdllogic">5&#39;d26</span>) ? <a class="code" href="classvideo__priority.html#a50ac9ae51d6d01efa5d7428ce5d94d50">color26</a> :
<a name="l01079"></a>01079     (<a class="code" href="classvideo__priority.html#a0f2174fc4faee6655177982538f7b8ee">final_color</a> == <span class="vhdllogic">5&#39;d27</span>) ? <a class="code" href="classvideo__priority.html#ab35f095d1ade0cbadb345cfd8529307f">color27</a> :
<a name="l01080"></a>01080     (<a class="code" href="classvideo__priority.html#a0f2174fc4faee6655177982538f7b8ee">final_color</a> == <span class="vhdllogic">5&#39;d28</span>) ? <a class="code" href="classvideo__priority.html#a925899e6a75022d27b7ee27ab06ea40f">color28</a> :
<a name="l01081"></a>01081     (<a class="code" href="classvideo__priority.html#a0f2174fc4faee6655177982538f7b8ee">final_color</a> == <span class="vhdllogic">5&#39;d29</span>) ? <a class="code" href="classvideo__priority.html#a99c84aa517637ca98541edd02a0d94de">color29</a> :
<a name="l01082"></a>01082     (<a class="code" href="classvideo__priority.html#a0f2174fc4faee6655177982538f7b8ee">final_color</a> == <span class="vhdllogic">5&#39;d30</span>) ? <a class="code" href="classvideo__priority.html#ad850b35ef346412c52a8b124ebf7a245">color30</a> :
<a name="l01083"></a>01083     <a class="code" href="classvideo__priority.html#a84580d667f4fdfcaa80a874d772c9f10">color31</a>;
<a name="l01084"></a>01084 
<a name="l01085"></a><a class="code" href="classvideo__priority.html#a2832bd42f329f0241fd6fd2117e50ae7">01085</a> <span class="vhdlkeyword">wire</span> [<span class="vhdllogic">11</span>:<span class="vhdllogic">0</span>] <a class="code" href="classvideo__priority.html#a2832bd42f329f0241fd6fd2117e50ae7">final_color_value</a>;
<a name="l01086"></a>01086 <span class="vhdlkeyword">assign</span> <a class="code" href="classvideo__priority.html#a2832bd42f329f0241fd6fd2117e50ae7">final_color_value</a> =
<a name="l01087"></a>01087     (<a class="code" href="classvideo__priority.html#a3cb65ccb821596be046bddd263e5f740">column_number</a> &lt; <a class="code" href="classvideo__priority.html#a4b54ba271e86d2bdc858366ed8c47b01">hstart</a> || <a class="code" href="classvideo__priority.html#a3cb65ccb821596be046bddd263e5f740">column_number</a> &gt; <a class="code" href="classvideo__priority.html#a6be9839641b39ff0f0b9bff19ae4f352">hstop</a>) ? <a class="code" href="classvideo__priority.html#a14f038a8cc5565f1d87e6d913a950561">color00</a> :
<a name="l01088"></a>01088     (<a class="code" href="classvideo__priority.html#a0daf3f90033c09360f16670d60718256">ehb_enabled</a> == <span class="vhdllogic">1&#39;b1</span>) ? { <span class="vhdllogic">1&#39;b0</span>,<a class="code" href="classvideo__priority.html#aecac73d66299b70f1843e71544ee145c">color_value_before_ehb</a>[<span class="vhdllogic">11</span>:<span class="vhdllogic">9</span>], <span class="vhdllogic">1&#39;b0</span>,<a class="code" href="classvideo__priority.html#aecac73d66299b70f1843e71544ee145c">color_value_before_ehb</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">5</span>], <span class="vhdllogic">1&#39;b0</span>,<a class="code" href="classvideo__priority.html#aecac73d66299b70f1843e71544ee145c">color_value_before_ehb</a>[<span class="vhdllogic">3</span>:<span class="vhdllogic">1</span>] } :
<a name="l01089"></a>01089     <a class="code" href="classvideo__priority.html#aecac73d66299b70f1843e71544ee145c">color_value_before_ehb</a>;
<a name="l01090"></a>01090     
<a name="l01091"></a><a class="code" href="classvideo__priority.html#a9c101ab7f14db73a1aadaa4dccea67e1">01091</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">11</span>:<span class="vhdllogic">0</span>] <a class="code" href="classvideo__priority.html#a9c101ab7f14db73a1aadaa4dccea67e1">last_color_value</a>;
<a name="l01092"></a><a class="code" href="classvideo__priority.html#a4b3b914a39366024261dd521e6ea10fd">01092</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">2</span>:<span class="vhdllogic">0</span>] <a class="code" href="classvideo__priority.html#a4b3b914a39366024261dd521e6ea10fd">line_ram_counter</a>;
<a name="l01093"></a><a class="code" href="classvideo__priority.html#ac1a35d2864a2f06f3c92df800ef93259">01093</a> <span class="vhdlkeyword">always</span> @(<span class="vhdlkeyword">posedge</span> <a class="code" href="classvideo__priority.html#abf1aaed0ee2f67c228427dcf593cbf82">CLK_I</a> <span class="vhdlkeyword">or</span> <span class="vhdlkeyword">negedge</span> <a class="code" href="classvideo__priority.html#a5cffa9f1df5eafdf162885af5f9db43e">reset_n</a>) <span class="vhdlkeyword">begin</span>
<a name="l01094"></a>01094     <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a5cffa9f1df5eafdf162885af5f9db43e">reset_n</a> == <span class="vhdllogic">1&#39;b0</span>) <span class="vhdlkeyword">begin</span>
<a name="l01095"></a>01095         <a class="code" href="classvideo__priority.html#a90f4a68f2cf29bebc82da10916240135">clx_dat</a> &lt;= <span class="vhdllogic">16&#39;d0</span>;
<a name="l01096"></a>01096         <a class="code" href="classvideo__priority.html#a4d82e3563ff52439550701fcef2d6cf3">burst_write_request</a> &lt;= <span class="vhdllogic">1&#39;b0</span>;
<a name="l01097"></a>01097         <a class="code" href="classvideo__priority.html#aed83a73389043aa5e85ce5e0e30a14bc">burst_write_address</a> &lt;= <span class="vhdllogic">30&#39;d0</span>;
<a name="l01098"></a>01098         <a class="code" href="classvideo__priority.html#a233c298e13d06cf13784e5fba6a236ea">diw_start</a> &lt;= <span class="vhdllogic">16&#39;h2C81</span>;
<a name="l01099"></a>01099         <a class="code" href="classvideo__priority.html#a78d6e67d14db050acbe932c7cad959db">diw_stop</a> &lt;= <span class="vhdllogic">16&#39;h2CC1</span>;
<a name="l01100"></a>01100         <a class="code" href="classvideo__priority.html#a6286ce350ac15a4de6a179a82d7f1262">clx_con</a> &lt;= <span class="vhdllogic">16&#39;d0</span>;
<a name="l01101"></a>01101         <a class="code" href="classvideo__priority.html#a3edf8c75f1b3f4cecb690f098c94885a">bpl_con2</a> &lt;= <span class="vhdllogic">7&#39;d0</span>;
<a name="l01102"></a>01102         <a class="code" href="classvideo__priority.html#a14f038a8cc5565f1d87e6d913a950561">color00</a> &lt;= <span class="vhdllogic">12&#39;d0</span>;
<a name="l01103"></a>01103         <a class="code" href="classvideo__priority.html#a6737e9fc15551e32c72be0ebbef5a0ac">color01</a> &lt;= <span class="vhdllogic">12&#39;d0</span>;
<a name="l01104"></a>01104         <a class="code" href="classvideo__priority.html#a19e2df555129210e2942825a431ecaee">color02</a> &lt;= <span class="vhdllogic">12&#39;d0</span>;
<a name="l01105"></a>01105         <a class="code" href="classvideo__priority.html#a22d9628448c901b413c52042a4219680">color03</a> &lt;= <span class="vhdllogic">12&#39;d0</span>;
<a name="l01106"></a>01106         <a class="code" href="classvideo__priority.html#aa0c267b5c817534e14c52d3dc15bbfd9">color04</a> &lt;= <span class="vhdllogic">12&#39;d0</span>;
<a name="l01107"></a>01107         <a class="code" href="classvideo__priority.html#a6f5a91200e58aadf1955eaa4c33bc3d1">color05</a> &lt;= <span class="vhdllogic">12&#39;d0</span>;
<a name="l01108"></a>01108         <a class="code" href="classvideo__priority.html#a2bfc5024cfa202ea1f2797b123ee924b">color06</a> &lt;= <span class="vhdllogic">12&#39;d0</span>;
<a name="l01109"></a>01109         <a class="code" href="classvideo__priority.html#a589b5e24f77a7928e2c9b1b96b40907c">color07</a> &lt;= <span class="vhdllogic">12&#39;d0</span>;
<a name="l01110"></a>01110         <a class="code" href="classvideo__priority.html#a29e5c9c11f890dbfbe08a3aaec40d79d">color08</a> &lt;= <span class="vhdllogic">12&#39;d0</span>;
<a name="l01111"></a>01111         <a class="code" href="classvideo__priority.html#adc014d0a5986e9894d68a8c3c2fcb5fd">color09</a> &lt;= <span class="vhdllogic">12&#39;d0</span>;
<a name="l01112"></a>01112         <a class="code" href="classvideo__priority.html#ac8180e404a25c8f55ce79568f604d3d9">color10</a> &lt;= <span class="vhdllogic">12&#39;d0</span>;
<a name="l01113"></a>01113         <a class="code" href="classvideo__priority.html#a04d781dcbaeb50edf04ac552b4393bf6">color11</a> &lt;= <span class="vhdllogic">12&#39;d0</span>;
<a name="l01114"></a>01114         <a class="code" href="classvideo__priority.html#a29d644ce487f13506da5e4028f24c584">color12</a> &lt;= <span class="vhdllogic">12&#39;d0</span>;
<a name="l01115"></a>01115         <a class="code" href="classvideo__priority.html#a61934dfdd62a3f9ab27b2b6e14799ca2">color13</a> &lt;= <span class="vhdllogic">12&#39;d0</span>;
<a name="l01116"></a>01116         <a class="code" href="classvideo__priority.html#a26adb7f2132fcbb2606d0b00b20ffa26">color14</a> &lt;= <span class="vhdllogic">12&#39;d0</span>;
<a name="l01117"></a>01117         <a class="code" href="classvideo__priority.html#a376f93185d81040eb60bf48a1596fbdb">color15</a> &lt;= <span class="vhdllogic">12&#39;d0</span>;
<a name="l01118"></a>01118         <a class="code" href="classvideo__priority.html#a0aa3758b46b51f6a0cba127914666b1b">color16</a> &lt;= <span class="vhdllogic">12&#39;d0</span>;
<a name="l01119"></a>01119         <a class="code" href="classvideo__priority.html#a29cac67e40ced08943db02d4dd7361c0">color17</a> &lt;= <span class="vhdllogic">12&#39;d0</span>;
<a name="l01120"></a>01120         <a class="code" href="classvideo__priority.html#a06d9bf6c77787115709f899ca71d2ea6">color18</a> &lt;= <span class="vhdllogic">12&#39;d0</span>;
<a name="l01121"></a>01121         <a class="code" href="classvideo__priority.html#a92f3e2f799f0cb0562b99f96448050a2">color19</a> &lt;= <span class="vhdllogic">12&#39;d0</span>;
<a name="l01122"></a>01122         <a class="code" href="classvideo__priority.html#ad25aa68683b8982f07ad62d8210c2862">color20</a> &lt;= <span class="vhdllogic">12&#39;d0</span>;
<a name="l01123"></a>01123         <a class="code" href="classvideo__priority.html#a4ce788e6baa223b539ac70a2b26cce78">color21</a> &lt;= <span class="vhdllogic">12&#39;d0</span>;
<a name="l01124"></a>01124         <a class="code" href="classvideo__priority.html#ae01ee36a38fda5be416ba46bd4c1e59b">color22</a> &lt;= <span class="vhdllogic">12&#39;d0</span>;
<a name="l01125"></a>01125         <a class="code" href="classvideo__priority.html#aca4c1b490797abd17be5f3bb0e7c2eaf">color23</a> &lt;= <span class="vhdllogic">12&#39;d0</span>;
<a name="l01126"></a>01126         <a class="code" href="classvideo__priority.html#ae8b790fffbce469347f7b383aea7f46e">color24</a> &lt;= <span class="vhdllogic">12&#39;d0</span>;
<a name="l01127"></a>01127         <a class="code" href="classvideo__priority.html#ac29d6732d6fdd90a1643c679ceda91a5">color25</a> &lt;= <span class="vhdllogic">12&#39;d0</span>;
<a name="l01128"></a>01128         <a class="code" href="classvideo__priority.html#a50ac9ae51d6d01efa5d7428ce5d94d50">color26</a> &lt;= <span class="vhdllogic">12&#39;d0</span>;
<a name="l01129"></a>01129         <a class="code" href="classvideo__priority.html#ab35f095d1ade0cbadb345cfd8529307f">color27</a> &lt;= <span class="vhdllogic">12&#39;d0</span>;
<a name="l01130"></a>01130         <a class="code" href="classvideo__priority.html#a925899e6a75022d27b7ee27ab06ea40f">color28</a> &lt;= <span class="vhdllogic">12&#39;d0</span>;
<a name="l01131"></a>01131         <a class="code" href="classvideo__priority.html#a99c84aa517637ca98541edd02a0d94de">color29</a> &lt;= <span class="vhdllogic">12&#39;d0</span>;
<a name="l01132"></a>01132         <a class="code" href="classvideo__priority.html#ad850b35ef346412c52a8b124ebf7a245">color30</a> &lt;= <span class="vhdllogic">12&#39;d0</span>;
<a name="l01133"></a>01133         <a class="code" href="classvideo__priority.html#a84580d667f4fdfcaa80a874d772c9f10">color31</a> &lt;= <span class="vhdllogic">12&#39;d0</span>;
<a name="l01134"></a>01134         <a class="code" href="classvideo__priority.html#ae06e8318294b7deb0f5d2c8bf69b8ca2">line_ram_addr</a> &lt;= <span class="vhdllogic">8&#39;d0</span>;
<a name="l01135"></a>01135         <a class="code" href="classvideo__priority.html#a4cbbbb850059c19bcc3aa1fe7cd27a21">line_ram_data</a> &lt;= <span class="vhdllogic">36&#39;d0</span>;
<a name="l01136"></a>01136         <a class="code" href="classvideo__priority.html#a9c101ab7f14db73a1aadaa4dccea67e1">last_color_value</a> &lt;= <span class="vhdllogic">12&#39;d0</span>;
<a name="l01137"></a>01137         <a class="code" href="classvideo__priority.html#a4b3b914a39366024261dd521e6ea10fd">line_ram_counter</a> &lt;= <span class="vhdllogic">3&#39;d0</span>;
<a name="l01138"></a>01138     <span class="vhdlkeyword">end</span>
<a name="l01139"></a>01139     <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">begin</span>
<a name="l01140"></a>01140 
<a name="l01141"></a>01141         <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a91cd3399ae262fc8cdcb2bc6a0431f11">screen_line_enable</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a3cb65ccb821596be046bddd263e5f740">column_number</a> &gt;= <span class="vhdllogic">9&#39;h81</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a3cb65ccb821596be046bddd263e5f740">column_number</a> == <span class="vhdllogic">9&#39;h1C1</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a4b3b914a39366024261dd521e6ea10fd">line_ram_counter</a> == <span class="vhdllogic">3&#39;d1</span>) <span class="vhdlkeyword">begin</span>
<a name="l01142"></a>01142             <a class="code" href="classvideo__priority.html#ae06e8318294b7deb0f5d2c8bf69b8ca2">line_ram_addr</a> &lt;= <span class="vhdllogic">8&#39;d0</span>;
<a name="l01143"></a>01143             <a class="code" href="classvideo__priority.html#a4b3b914a39366024261dd521e6ea10fd">line_ram_counter</a> &lt;= <span class="vhdllogic">3&#39;d4</span>;
<a name="l01144"></a>01144             <a class="code" href="classvideo__priority.html#a9c101ab7f14db73a1aadaa4dccea67e1">last_color_value</a> &lt;= <a class="code" href="classvideo__priority.html#a2832bd42f329f0241fd6fd2117e50ae7">final_color_value</a>;
<a name="l01145"></a>01145         <span class="vhdlkeyword">end</span>
<a name="l01146"></a>01146         <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a91cd3399ae262fc8cdcb2bc6a0431f11">screen_line_enable</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a3cb65ccb821596be046bddd263e5f740">column_number</a> &gt;= <span class="vhdllogic">9&#39;h81</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a3cb65ccb821596be046bddd263e5f740">column_number</a> &lt; <span class="vhdllogic">9&#39;h1C1</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a4b3b914a39366024261dd521e6ea10fd">line_ram_counter</a> == <span class="vhdllogic">3&#39;d3</span>) <span class="vhdlkeyword">begin</span>
<a name="l01147"></a>01147             <a class="code" href="classvideo__priority.html#ae06e8318294b7deb0f5d2c8bf69b8ca2">line_ram_addr</a> &lt;= <a class="code" href="classvideo__priority.html#ae06e8318294b7deb0f5d2c8bf69b8ca2">line_ram_addr</a> + <span class="vhdllogic">8&#39;d1</span>;
<a name="l01148"></a>01148             <a class="code" href="classvideo__priority.html#a4b3b914a39366024261dd521e6ea10fd">line_ram_counter</a> &lt;= <span class="vhdllogic">3&#39;d1</span>;
<a name="l01149"></a>01149             <a class="code" href="classvideo__priority.html#a9c101ab7f14db73a1aadaa4dccea67e1">last_color_value</a> &lt;= <a class="code" href="classvideo__priority.html#a2832bd42f329f0241fd6fd2117e50ae7">final_color_value</a>;
<a name="l01150"></a>01150         <span class="vhdlkeyword">end</span>
<a name="l01151"></a>01151         <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a91cd3399ae262fc8cdcb2bc6a0431f11">screen_line_enable</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a3cb65ccb821596be046bddd263e5f740">column_number</a> &gt;= <span class="vhdllogic">9&#39;h81</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a3cb65ccb821596be046bddd263e5f740">column_number</a> &lt; <span class="vhdllogic">9&#39;h1C1</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a4b3b914a39366024261dd521e6ea10fd">line_ram_counter</a> == <span class="vhdllogic">3&#39;d0</span>) <span class="vhdlkeyword">begin</span>
<a name="l01152"></a>01152             <a class="code" href="classvideo__priority.html#a4b3b914a39366024261dd521e6ea10fd">line_ram_counter</a> &lt;= <a class="code" href="classvideo__priority.html#a4b3b914a39366024261dd521e6ea10fd">line_ram_counter</a> + <span class="vhdllogic">3&#39;d1</span>;
<a name="l01153"></a>01153         <span class="vhdlkeyword">end</span>
<a name="l01154"></a>01154         <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a91cd3399ae262fc8cdcb2bc6a0431f11">screen_line_enable</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a3cb65ccb821596be046bddd263e5f740">column_number</a> &gt;= <span class="vhdllogic">9&#39;h81</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a3cb65ccb821596be046bddd263e5f740">column_number</a> &lt; <span class="vhdllogic">9&#39;h1C1</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a4b3b914a39366024261dd521e6ea10fd">line_ram_counter</a> &lt; <span class="vhdllogic">3&#39;d4</span>) <span class="vhdlkeyword">begin</span>
<a name="l01155"></a>01155             <a class="code" href="classvideo__priority.html#a4b3b914a39366024261dd521e6ea10fd">line_ram_counter</a> &lt;= <a class="code" href="classvideo__priority.html#a4b3b914a39366024261dd521e6ea10fd">line_ram_counter</a> + <span class="vhdllogic">3&#39;d1</span>;
<a name="l01156"></a>01156             <a class="code" href="classvideo__priority.html#a4cbbbb850059c19bcc3aa1fe7cd27a21">line_ram_data</a> &lt;= { <a class="code" href="classvideo__priority.html#a4cbbbb850059c19bcc3aa1fe7cd27a21">line_ram_data</a>[<span class="vhdllogic">23</span>:<span class="vhdllogic">0</span>], <a class="code" href="classvideo__priority.html#a2832bd42f329f0241fd6fd2117e50ae7">final_color_value</a> };
<a name="l01157"></a>01157             <a class="code" href="classvideo__priority.html#a9c101ab7f14db73a1aadaa4dccea67e1">last_color_value</a> &lt;= <a class="code" href="classvideo__priority.html#a2832bd42f329f0241fd6fd2117e50ae7">final_color_value</a>;
<a name="l01158"></a>01158         <span class="vhdlkeyword">end</span>
<a name="l01159"></a>01159         <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a4b3b914a39366024261dd521e6ea10fd">line_ram_counter</a> == <span class="vhdllogic">3&#39;d4</span>) <span class="vhdlkeyword">begin</span>
<a name="l01160"></a>01160             <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a9df6d68f4d69b5b0df56a70106b42e85">burst_write_ready</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classvideo__priority.html#ae06e8318294b7deb0f5d2c8bf69b8ca2">line_ram_addr</a> &lt;= <span class="vhdllogic">8&#39;d213</span>) <span class="vhdlkeyword">begin</span>
<a name="l01161"></a>01161                 <a class="code" href="classvideo__priority.html#ae06e8318294b7deb0f5d2c8bf69b8ca2">line_ram_addr</a> &lt;= <a class="code" href="classvideo__priority.html#ae06e8318294b7deb0f5d2c8bf69b8ca2">line_ram_addr</a> + <span class="vhdllogic">8&#39;d1</span>;
<a name="l01162"></a>01162             <span class="vhdlkeyword">end</span>
<a name="l01163"></a>01163             <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a9df6d68f4d69b5b0df56a70106b42e85">burst_write_ready</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classvideo__priority.html#ae06e8318294b7deb0f5d2c8bf69b8ca2">line_ram_addr</a> == <span class="vhdllogic">8&#39;d214</span>) <span class="vhdlkeyword">begin</span>
<a name="l01164"></a>01164                 <a class="code" href="classvideo__priority.html#a4b3b914a39366024261dd521e6ea10fd">line_ram_counter</a> &lt;= <span class="vhdllogic">3&#39;d0</span>;
<a name="l01165"></a>01165             <span class="vhdlkeyword">end</span>
<a name="l01166"></a>01166         <span class="vhdlkeyword">end</span>
<a name="l01167"></a>01167         <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">begin</span>
<a name="l01168"></a>01168             <a class="code" href="classvideo__priority.html#ae06e8318294b7deb0f5d2c8bf69b8ca2">line_ram_addr</a> &lt;= <span class="vhdllogic">8&#39;d0</span>;
<a name="l01169"></a>01169             <a class="code" href="classvideo__priority.html#a9c101ab7f14db73a1aadaa4dccea67e1">last_color_value</a> &lt;= <a class="code" href="classvideo__priority.html#a14f038a8cc5565f1d87e6d913a950561">color00</a>;
<a name="l01170"></a>01170             <a class="code" href="classvideo__priority.html#a4b3b914a39366024261dd521e6ea10fd">line_ram_counter</a> &lt;= <span class="vhdllogic">3&#39;d0</span>;
<a name="l01171"></a>01171         <span class="vhdlkeyword">end</span>
<a name="l01172"></a>01172 
<a name="l01173"></a>01173         <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a91cd3399ae262fc8cdcb2bc6a0431f11">screen_line_enable</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a3cb65ccb821596be046bddd263e5f740">column_number</a> &gt;= <span class="vhdllogic">9&#39;h81</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a3cb65ccb821596be046bddd263e5f740">column_number</a> == <span class="vhdllogic">9&#39;h1C1</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a4b3b914a39366024261dd521e6ea10fd">line_ram_counter</a> == <span class="vhdllogic">3&#39;d1</span>) <span class="vhdlkeyword">begin</span>
<a name="l01174"></a>01174             <a class="code" href="classvideo__priority.html#a4d82e3563ff52439550701fcef2d6cf3">burst_write_request</a> &lt;= <span class="vhdllogic">1&#39;b1</span>;
<a name="l01175"></a>01175         <span class="vhdlkeyword">end</span>
<a name="l01176"></a>01176         <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a4b3b914a39366024261dd521e6ea10fd">line_ram_counter</a> == <span class="vhdllogic">3&#39;d4</span> &amp;&amp; <a class="code" href="classvideo__priority.html#ae06e8318294b7deb0f5d2c8bf69b8ca2">line_ram_addr</a> == <span class="vhdllogic">8&#39;d214</span>) <span class="vhdlkeyword">begin</span>
<a name="l01177"></a>01177             <a class="code" href="classvideo__priority.html#a4d82e3563ff52439550701fcef2d6cf3">burst_write_request</a> &lt;= <span class="vhdllogic">1&#39;b0</span>;
<a name="l01178"></a>01178             <a class="code" href="classvideo__priority.html#aed83a73389043aa5e85ce5e0e30a14bc">burst_write_address</a> &lt;= <a class="code" href="classvideo__priority.html#aed83a73389043aa5e85ce5e0e30a14bc">burst_write_address</a> + <span class="vhdllogic">30&#39;d216</span>; <span class="keyword">// 640/3 = 213.(3) = 214 + 2 for %4 =0</span>
<a name="l01179"></a>01179         <span class="vhdlkeyword">end</span>
<a name="l01180"></a>01180         <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a91cd3399ae262fc8cdcb2bc6a0431f11">screen_line_enable</a> == <span class="vhdllogic">1&#39;b0</span>) <span class="vhdlkeyword">begin</span>
<a name="l01181"></a>01181             <a class="code" href="classvideo__priority.html#aed83a73389043aa5e85ce5e0e30a14bc">burst_write_address</a> &lt;= VIDEO_BUFFER_DIV_4<span class="vhdlchar"></span>; <span class="keyword">// start of video buffer</span>
<a name="l01182"></a>01182         <span class="vhdlkeyword">end</span>
<a name="l01183"></a>01183         
<a name="l01184"></a>01184         <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#ac2441916ea9813e67cbfb1821da6b586">clx_dat_read</a> == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classvideo__priority.html#a90f4a68f2cf29bebc82da10916240135">clx_dat</a> &lt;= <span class="vhdllogic">16&#39;d0</span>;
<a name="l01185"></a>01185         <span class="vhdlkeyword">else</span> <a class="code" href="classvideo__priority.html#a90f4a68f2cf29bebc82da10916240135">clx_dat</a> &lt;= <a class="code" href="classvideo__priority.html#a90f4a68f2cf29bebc82da10916240135">clx_dat</a> | { <span class="vhdllogic">1&#39;b0</span>, <a class="code" href="classvideo__priority.html#affcc1a932dc2316a1572231c23a59a3d">clx_detected</a> };
<a name="l01186"></a>01186         
<a name="l01187"></a>01187         <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#aa53348fc2624d5541c3c7ce335d96de0">write_ena</a> == <span class="vhdllogic">1&#39;b1</span>) <span class="vhdlkeyword">begin</span>
<a name="l01188"></a>01188             <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a249b3c483c8a21b7647b327bf3cd865e">write_address</a> == <span class="vhdllogic">5&#39;d0</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a73c42730890adabba7eb336985911647">write_sel</a>[<span class="vhdllogic">0</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classvideo__priority.html#a6737e9fc15551e32c72be0ebbef5a0ac">color01</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>] &lt;= <a class="code" href="classvideo__priority.html#a578e2dacf94d79bc24e170edb9d4ce83">write_data</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>];
<a name="l01189"></a>01189             <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a249b3c483c8a21b7647b327bf3cd865e">write_address</a> == <span class="vhdllogic">5&#39;d0</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a73c42730890adabba7eb336985911647">write_sel</a>[<span class="vhdllogic">1</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classvideo__priority.html#a6737e9fc15551e32c72be0ebbef5a0ac">color01</a>[<span class="vhdllogic">11</span>:<span class="vhdllogic">8</span>] &lt;= <a class="code" href="classvideo__priority.html#a578e2dacf94d79bc24e170edb9d4ce83">write_data</a>[<span class="vhdllogic">11</span>:<span class="vhdllogic">8</span>];
<a name="l01190"></a>01190             <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a249b3c483c8a21b7647b327bf3cd865e">write_address</a> == <span class="vhdllogic">5&#39;d0</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a73c42730890adabba7eb336985911647">write_sel</a>[<span class="vhdllogic">2</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classvideo__priority.html#a14f038a8cc5565f1d87e6d913a950561">color00</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>] &lt;= <a class="code" href="classvideo__priority.html#a578e2dacf94d79bc24e170edb9d4ce83">write_data</a>[<span class="vhdllogic">23</span>:<span class="vhdllogic">16</span>];
<a name="l01191"></a>01191             <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a249b3c483c8a21b7647b327bf3cd865e">write_address</a> == <span class="vhdllogic">5&#39;d0</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a73c42730890adabba7eb336985911647">write_sel</a>[<span class="vhdllogic">3</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classvideo__priority.html#a14f038a8cc5565f1d87e6d913a950561">color00</a>[<span class="vhdllogic">11</span>:<span class="vhdllogic">8</span>] &lt;= <a class="code" href="classvideo__priority.html#a578e2dacf94d79bc24e170edb9d4ce83">write_data</a>[<span class="vhdllogic">27</span>:<span class="vhdllogic">24</span>];
<a name="l01192"></a>01192             <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a249b3c483c8a21b7647b327bf3cd865e">write_address</a> == <span class="vhdllogic">5&#39;d1</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a73c42730890adabba7eb336985911647">write_sel</a>[<span class="vhdllogic">0</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classvideo__priority.html#a22d9628448c901b413c52042a4219680">color03</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>] &lt;= <a class="code" href="classvideo__priority.html#a578e2dacf94d79bc24e170edb9d4ce83">write_data</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>];
<a name="l01193"></a>01193             <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a249b3c483c8a21b7647b327bf3cd865e">write_address</a> == <span class="vhdllogic">5&#39;d1</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a73c42730890adabba7eb336985911647">write_sel</a>[<span class="vhdllogic">1</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classvideo__priority.html#a22d9628448c901b413c52042a4219680">color03</a>[<span class="vhdllogic">11</span>:<span class="vhdllogic">8</span>] &lt;= <a class="code" href="classvideo__priority.html#a578e2dacf94d79bc24e170edb9d4ce83">write_data</a>[<span class="vhdllogic">11</span>:<span class="vhdllogic">8</span>];
<a name="l01194"></a>01194             <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a249b3c483c8a21b7647b327bf3cd865e">write_address</a> == <span class="vhdllogic">5&#39;d1</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a73c42730890adabba7eb336985911647">write_sel</a>[<span class="vhdllogic">2</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classvideo__priority.html#a19e2df555129210e2942825a431ecaee">color02</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>] &lt;= <a class="code" href="classvideo__priority.html#a578e2dacf94d79bc24e170edb9d4ce83">write_data</a>[<span class="vhdllogic">23</span>:<span class="vhdllogic">16</span>];
<a name="l01195"></a>01195             <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a249b3c483c8a21b7647b327bf3cd865e">write_address</a> == <span class="vhdllogic">5&#39;d1</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a73c42730890adabba7eb336985911647">write_sel</a>[<span class="vhdllogic">3</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classvideo__priority.html#a19e2df555129210e2942825a431ecaee">color02</a>[<span class="vhdllogic">11</span>:<span class="vhdllogic">8</span>] &lt;= <a class="code" href="classvideo__priority.html#a578e2dacf94d79bc24e170edb9d4ce83">write_data</a>[<span class="vhdllogic">27</span>:<span class="vhdllogic">24</span>];
<a name="l01196"></a>01196             <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a249b3c483c8a21b7647b327bf3cd865e">write_address</a> == <span class="vhdllogic">5&#39;d2</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a73c42730890adabba7eb336985911647">write_sel</a>[<span class="vhdllogic">0</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classvideo__priority.html#a6f5a91200e58aadf1955eaa4c33bc3d1">color05</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>] &lt;= <a class="code" href="classvideo__priority.html#a578e2dacf94d79bc24e170edb9d4ce83">write_data</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>];
<a name="l01197"></a>01197             <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a249b3c483c8a21b7647b327bf3cd865e">write_address</a> == <span class="vhdllogic">5&#39;d2</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a73c42730890adabba7eb336985911647">write_sel</a>[<span class="vhdllogic">1</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classvideo__priority.html#a6f5a91200e58aadf1955eaa4c33bc3d1">color05</a>[<span class="vhdllogic">11</span>:<span class="vhdllogic">8</span>] &lt;= <a class="code" href="classvideo__priority.html#a578e2dacf94d79bc24e170edb9d4ce83">write_data</a>[<span class="vhdllogic">11</span>:<span class="vhdllogic">8</span>];
<a name="l01198"></a>01198             <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a249b3c483c8a21b7647b327bf3cd865e">write_address</a> == <span class="vhdllogic">5&#39;d2</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a73c42730890adabba7eb336985911647">write_sel</a>[<span class="vhdllogic">2</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classvideo__priority.html#aa0c267b5c817534e14c52d3dc15bbfd9">color04</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>] &lt;= <a class="code" href="classvideo__priority.html#a578e2dacf94d79bc24e170edb9d4ce83">write_data</a>[<span class="vhdllogic">23</span>:<span class="vhdllogic">16</span>];
<a name="l01199"></a>01199             <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a249b3c483c8a21b7647b327bf3cd865e">write_address</a> == <span class="vhdllogic">5&#39;d2</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a73c42730890adabba7eb336985911647">write_sel</a>[<span class="vhdllogic">3</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classvideo__priority.html#aa0c267b5c817534e14c52d3dc15bbfd9">color04</a>[<span class="vhdllogic">11</span>:<span class="vhdllogic">8</span>] &lt;= <a class="code" href="classvideo__priority.html#a578e2dacf94d79bc24e170edb9d4ce83">write_data</a>[<span class="vhdllogic">27</span>:<span class="vhdllogic">24</span>];
<a name="l01200"></a>01200             <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a249b3c483c8a21b7647b327bf3cd865e">write_address</a> == <span class="vhdllogic">5&#39;d3</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a73c42730890adabba7eb336985911647">write_sel</a>[<span class="vhdllogic">0</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classvideo__priority.html#a589b5e24f77a7928e2c9b1b96b40907c">color07</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>] &lt;= <a class="code" href="classvideo__priority.html#a578e2dacf94d79bc24e170edb9d4ce83">write_data</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>];
<a name="l01201"></a>01201             <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a249b3c483c8a21b7647b327bf3cd865e">write_address</a> == <span class="vhdllogic">5&#39;d3</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a73c42730890adabba7eb336985911647">write_sel</a>[<span class="vhdllogic">1</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classvideo__priority.html#a589b5e24f77a7928e2c9b1b96b40907c">color07</a>[<span class="vhdllogic">11</span>:<span class="vhdllogic">8</span>] &lt;= <a class="code" href="classvideo__priority.html#a578e2dacf94d79bc24e170edb9d4ce83">write_data</a>[<span class="vhdllogic">11</span>:<span class="vhdllogic">8</span>];
<a name="l01202"></a>01202             <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a249b3c483c8a21b7647b327bf3cd865e">write_address</a> == <span class="vhdllogic">5&#39;d3</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a73c42730890adabba7eb336985911647">write_sel</a>[<span class="vhdllogic">2</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classvideo__priority.html#a2bfc5024cfa202ea1f2797b123ee924b">color06</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>] &lt;= <a class="code" href="classvideo__priority.html#a578e2dacf94d79bc24e170edb9d4ce83">write_data</a>[<span class="vhdllogic">23</span>:<span class="vhdllogic">16</span>];
<a name="l01203"></a>01203             <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a249b3c483c8a21b7647b327bf3cd865e">write_address</a> == <span class="vhdllogic">5&#39;d3</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a73c42730890adabba7eb336985911647">write_sel</a>[<span class="vhdllogic">3</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classvideo__priority.html#a2bfc5024cfa202ea1f2797b123ee924b">color06</a>[<span class="vhdllogic">11</span>:<span class="vhdllogic">8</span>] &lt;= <a class="code" href="classvideo__priority.html#a578e2dacf94d79bc24e170edb9d4ce83">write_data</a>[<span class="vhdllogic">27</span>:<span class="vhdllogic">24</span>];
<a name="l01204"></a>01204             <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a249b3c483c8a21b7647b327bf3cd865e">write_address</a> == <span class="vhdllogic">5&#39;d4</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a73c42730890adabba7eb336985911647">write_sel</a>[<span class="vhdllogic">0</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classvideo__priority.html#adc014d0a5986e9894d68a8c3c2fcb5fd">color09</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>] &lt;= <a class="code" href="classvideo__priority.html#a578e2dacf94d79bc24e170edb9d4ce83">write_data</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>];
<a name="l01205"></a>01205             <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a249b3c483c8a21b7647b327bf3cd865e">write_address</a> == <span class="vhdllogic">5&#39;d4</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a73c42730890adabba7eb336985911647">write_sel</a>[<span class="vhdllogic">1</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classvideo__priority.html#adc014d0a5986e9894d68a8c3c2fcb5fd">color09</a>[<span class="vhdllogic">11</span>:<span class="vhdllogic">8</span>] &lt;= <a class="code" href="classvideo__priority.html#a578e2dacf94d79bc24e170edb9d4ce83">write_data</a>[<span class="vhdllogic">11</span>:<span class="vhdllogic">8</span>];
<a name="l01206"></a>01206             <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a249b3c483c8a21b7647b327bf3cd865e">write_address</a> == <span class="vhdllogic">5&#39;d4</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a73c42730890adabba7eb336985911647">write_sel</a>[<span class="vhdllogic">2</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classvideo__priority.html#a29e5c9c11f890dbfbe08a3aaec40d79d">color08</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>] &lt;= <a class="code" href="classvideo__priority.html#a578e2dacf94d79bc24e170edb9d4ce83">write_data</a>[<span class="vhdllogic">23</span>:<span class="vhdllogic">16</span>];
<a name="l01207"></a>01207             <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a249b3c483c8a21b7647b327bf3cd865e">write_address</a> == <span class="vhdllogic">5&#39;d4</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a73c42730890adabba7eb336985911647">write_sel</a>[<span class="vhdllogic">3</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classvideo__priority.html#a29e5c9c11f890dbfbe08a3aaec40d79d">color08</a>[<span class="vhdllogic">11</span>:<span class="vhdllogic">8</span>] &lt;= <a class="code" href="classvideo__priority.html#a578e2dacf94d79bc24e170edb9d4ce83">write_data</a>[<span class="vhdllogic">27</span>:<span class="vhdllogic">24</span>];
<a name="l01208"></a>01208             <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a249b3c483c8a21b7647b327bf3cd865e">write_address</a> == <span class="vhdllogic">5&#39;d5</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a73c42730890adabba7eb336985911647">write_sel</a>[<span class="vhdllogic">0</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classvideo__priority.html#a04d781dcbaeb50edf04ac552b4393bf6">color11</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>] &lt;= <a class="code" href="classvideo__priority.html#a578e2dacf94d79bc24e170edb9d4ce83">write_data</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>];
<a name="l01209"></a>01209             <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a249b3c483c8a21b7647b327bf3cd865e">write_address</a> == <span class="vhdllogic">5&#39;d5</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a73c42730890adabba7eb336985911647">write_sel</a>[<span class="vhdllogic">1</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classvideo__priority.html#a04d781dcbaeb50edf04ac552b4393bf6">color11</a>[<span class="vhdllogic">11</span>:<span class="vhdllogic">8</span>] &lt;= <a class="code" href="classvideo__priority.html#a578e2dacf94d79bc24e170edb9d4ce83">write_data</a>[<span class="vhdllogic">11</span>:<span class="vhdllogic">8</span>];
<a name="l01210"></a>01210             <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a249b3c483c8a21b7647b327bf3cd865e">write_address</a> == <span class="vhdllogic">5&#39;d5</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a73c42730890adabba7eb336985911647">write_sel</a>[<span class="vhdllogic">2</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classvideo__priority.html#ac8180e404a25c8f55ce79568f604d3d9">color10</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>] &lt;= <a class="code" href="classvideo__priority.html#a578e2dacf94d79bc24e170edb9d4ce83">write_data</a>[<span class="vhdllogic">23</span>:<span class="vhdllogic">16</span>];
<a name="l01211"></a>01211             <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a249b3c483c8a21b7647b327bf3cd865e">write_address</a> == <span class="vhdllogic">5&#39;d5</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a73c42730890adabba7eb336985911647">write_sel</a>[<span class="vhdllogic">3</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classvideo__priority.html#ac8180e404a25c8f55ce79568f604d3d9">color10</a>[<span class="vhdllogic">11</span>:<span class="vhdllogic">8</span>] &lt;= <a class="code" href="classvideo__priority.html#a578e2dacf94d79bc24e170edb9d4ce83">write_data</a>[<span class="vhdllogic">27</span>:<span class="vhdllogic">24</span>];
<a name="l01212"></a>01212             <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a249b3c483c8a21b7647b327bf3cd865e">write_address</a> == <span class="vhdllogic">5&#39;d6</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a73c42730890adabba7eb336985911647">write_sel</a>[<span class="vhdllogic">0</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classvideo__priority.html#a61934dfdd62a3f9ab27b2b6e14799ca2">color13</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>] &lt;= <a class="code" href="classvideo__priority.html#a578e2dacf94d79bc24e170edb9d4ce83">write_data</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>];
<a name="l01213"></a>01213             <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a249b3c483c8a21b7647b327bf3cd865e">write_address</a> == <span class="vhdllogic">5&#39;d6</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a73c42730890adabba7eb336985911647">write_sel</a>[<span class="vhdllogic">1</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classvideo__priority.html#a61934dfdd62a3f9ab27b2b6e14799ca2">color13</a>[<span class="vhdllogic">11</span>:<span class="vhdllogic">8</span>] &lt;= <a class="code" href="classvideo__priority.html#a578e2dacf94d79bc24e170edb9d4ce83">write_data</a>[<span class="vhdllogic">11</span>:<span class="vhdllogic">8</span>];
<a name="l01214"></a>01214             <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a249b3c483c8a21b7647b327bf3cd865e">write_address</a> == <span class="vhdllogic">5&#39;d6</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a73c42730890adabba7eb336985911647">write_sel</a>[<span class="vhdllogic">2</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classvideo__priority.html#a29d644ce487f13506da5e4028f24c584">color12</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>] &lt;= <a class="code" href="classvideo__priority.html#a578e2dacf94d79bc24e170edb9d4ce83">write_data</a>[<span class="vhdllogic">23</span>:<span class="vhdllogic">16</span>];
<a name="l01215"></a>01215             <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a249b3c483c8a21b7647b327bf3cd865e">write_address</a> == <span class="vhdllogic">5&#39;d6</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a73c42730890adabba7eb336985911647">write_sel</a>[<span class="vhdllogic">3</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classvideo__priority.html#a29d644ce487f13506da5e4028f24c584">color12</a>[<span class="vhdllogic">11</span>:<span class="vhdllogic">8</span>] &lt;= <a class="code" href="classvideo__priority.html#a578e2dacf94d79bc24e170edb9d4ce83">write_data</a>[<span class="vhdllogic">27</span>:<span class="vhdllogic">24</span>];
<a name="l01216"></a>01216             <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a249b3c483c8a21b7647b327bf3cd865e">write_address</a> == <span class="vhdllogic">5&#39;d7</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a73c42730890adabba7eb336985911647">write_sel</a>[<span class="vhdllogic">0</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classvideo__priority.html#a376f93185d81040eb60bf48a1596fbdb">color15</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>] &lt;= <a class="code" href="classvideo__priority.html#a578e2dacf94d79bc24e170edb9d4ce83">write_data</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>];
<a name="l01217"></a>01217             <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a249b3c483c8a21b7647b327bf3cd865e">write_address</a> == <span class="vhdllogic">5&#39;d7</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a73c42730890adabba7eb336985911647">write_sel</a>[<span class="vhdllogic">1</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classvideo__priority.html#a376f93185d81040eb60bf48a1596fbdb">color15</a>[<span class="vhdllogic">11</span>:<span class="vhdllogic">8</span>] &lt;= <a class="code" href="classvideo__priority.html#a578e2dacf94d79bc24e170edb9d4ce83">write_data</a>[<span class="vhdllogic">11</span>:<span class="vhdllogic">8</span>];
<a name="l01218"></a>01218             <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a249b3c483c8a21b7647b327bf3cd865e">write_address</a> == <span class="vhdllogic">5&#39;d7</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a73c42730890adabba7eb336985911647">write_sel</a>[<span class="vhdllogic">2</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classvideo__priority.html#a26adb7f2132fcbb2606d0b00b20ffa26">color14</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>] &lt;= <a class="code" href="classvideo__priority.html#a578e2dacf94d79bc24e170edb9d4ce83">write_data</a>[<span class="vhdllogic">23</span>:<span class="vhdllogic">16</span>];
<a name="l01219"></a>01219             <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a249b3c483c8a21b7647b327bf3cd865e">write_address</a> == <span class="vhdllogic">5&#39;d7</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a73c42730890adabba7eb336985911647">write_sel</a>[<span class="vhdllogic">3</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classvideo__priority.html#a26adb7f2132fcbb2606d0b00b20ffa26">color14</a>[<span class="vhdllogic">11</span>:<span class="vhdllogic">8</span>] &lt;= <a class="code" href="classvideo__priority.html#a578e2dacf94d79bc24e170edb9d4ce83">write_data</a>[<span class="vhdllogic">27</span>:<span class="vhdllogic">24</span>];
<a name="l01220"></a>01220             <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a249b3c483c8a21b7647b327bf3cd865e">write_address</a> == <span class="vhdllogic">5&#39;d8</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a73c42730890adabba7eb336985911647">write_sel</a>[<span class="vhdllogic">0</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classvideo__priority.html#a29cac67e40ced08943db02d4dd7361c0">color17</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>] &lt;= <a class="code" href="classvideo__priority.html#a578e2dacf94d79bc24e170edb9d4ce83">write_data</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>];
<a name="l01221"></a>01221             <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a249b3c483c8a21b7647b327bf3cd865e">write_address</a> == <span class="vhdllogic">5&#39;d8</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a73c42730890adabba7eb336985911647">write_sel</a>[<span class="vhdllogic">1</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classvideo__priority.html#a29cac67e40ced08943db02d4dd7361c0">color17</a>[<span class="vhdllogic">11</span>:<span class="vhdllogic">8</span>] &lt;= <a class="code" href="classvideo__priority.html#a578e2dacf94d79bc24e170edb9d4ce83">write_data</a>[<span class="vhdllogic">11</span>:<span class="vhdllogic">8</span>];
<a name="l01222"></a>01222             <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a249b3c483c8a21b7647b327bf3cd865e">write_address</a> == <span class="vhdllogic">5&#39;d8</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a73c42730890adabba7eb336985911647">write_sel</a>[<span class="vhdllogic">2</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classvideo__priority.html#a0aa3758b46b51f6a0cba127914666b1b">color16</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>] &lt;= <a class="code" href="classvideo__priority.html#a578e2dacf94d79bc24e170edb9d4ce83">write_data</a>[<span class="vhdllogic">23</span>:<span class="vhdllogic">16</span>];
<a name="l01223"></a>01223             <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a249b3c483c8a21b7647b327bf3cd865e">write_address</a> == <span class="vhdllogic">5&#39;d8</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a73c42730890adabba7eb336985911647">write_sel</a>[<span class="vhdllogic">3</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classvideo__priority.html#a0aa3758b46b51f6a0cba127914666b1b">color16</a>[<span class="vhdllogic">11</span>:<span class="vhdllogic">8</span>] &lt;= <a class="code" href="classvideo__priority.html#a578e2dacf94d79bc24e170edb9d4ce83">write_data</a>[<span class="vhdllogic">27</span>:<span class="vhdllogic">24</span>];
<a name="l01224"></a>01224             <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a249b3c483c8a21b7647b327bf3cd865e">write_address</a> == <span class="vhdllogic">5&#39;d9</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a73c42730890adabba7eb336985911647">write_sel</a>[<span class="vhdllogic">0</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classvideo__priority.html#a92f3e2f799f0cb0562b99f96448050a2">color19</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>] &lt;= <a class="code" href="classvideo__priority.html#a578e2dacf94d79bc24e170edb9d4ce83">write_data</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>];
<a name="l01225"></a>01225             <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a249b3c483c8a21b7647b327bf3cd865e">write_address</a> == <span class="vhdllogic">5&#39;d9</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a73c42730890adabba7eb336985911647">write_sel</a>[<span class="vhdllogic">1</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classvideo__priority.html#a92f3e2f799f0cb0562b99f96448050a2">color19</a>[<span class="vhdllogic">11</span>:<span class="vhdllogic">8</span>] &lt;= <a class="code" href="classvideo__priority.html#a578e2dacf94d79bc24e170edb9d4ce83">write_data</a>[<span class="vhdllogic">11</span>:<span class="vhdllogic">8</span>];
<a name="l01226"></a>01226             <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a249b3c483c8a21b7647b327bf3cd865e">write_address</a> == <span class="vhdllogic">5&#39;d9</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a73c42730890adabba7eb336985911647">write_sel</a>[<span class="vhdllogic">2</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classvideo__priority.html#a06d9bf6c77787115709f899ca71d2ea6">color18</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>] &lt;= <a class="code" href="classvideo__priority.html#a578e2dacf94d79bc24e170edb9d4ce83">write_data</a>[<span class="vhdllogic">23</span>:<span class="vhdllogic">16</span>];
<a name="l01227"></a>01227             <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a249b3c483c8a21b7647b327bf3cd865e">write_address</a> == <span class="vhdllogic">5&#39;d9</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a73c42730890adabba7eb336985911647">write_sel</a>[<span class="vhdllogic">3</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classvideo__priority.html#a06d9bf6c77787115709f899ca71d2ea6">color18</a>[<span class="vhdllogic">11</span>:<span class="vhdllogic">8</span>] &lt;= <a class="code" href="classvideo__priority.html#a578e2dacf94d79bc24e170edb9d4ce83">write_data</a>[<span class="vhdllogic">27</span>:<span class="vhdllogic">24</span>];
<a name="l01228"></a>01228             <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a249b3c483c8a21b7647b327bf3cd865e">write_address</a> == <span class="vhdllogic">5&#39;d10</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a73c42730890adabba7eb336985911647">write_sel</a>[<span class="vhdllogic">0</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classvideo__priority.html#a4ce788e6baa223b539ac70a2b26cce78">color21</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>] &lt;= <a class="code" href="classvideo__priority.html#a578e2dacf94d79bc24e170edb9d4ce83">write_data</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>];
<a name="l01229"></a>01229             <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a249b3c483c8a21b7647b327bf3cd865e">write_address</a> == <span class="vhdllogic">5&#39;d10</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a73c42730890adabba7eb336985911647">write_sel</a>[<span class="vhdllogic">1</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classvideo__priority.html#a4ce788e6baa223b539ac70a2b26cce78">color21</a>[<span class="vhdllogic">11</span>:<span class="vhdllogic">8</span>] &lt;= <a class="code" href="classvideo__priority.html#a578e2dacf94d79bc24e170edb9d4ce83">write_data</a>[<span class="vhdllogic">11</span>:<span class="vhdllogic">8</span>];
<a name="l01230"></a>01230             <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a249b3c483c8a21b7647b327bf3cd865e">write_address</a> == <span class="vhdllogic">5&#39;d10</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a73c42730890adabba7eb336985911647">write_sel</a>[<span class="vhdllogic">2</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classvideo__priority.html#ad25aa68683b8982f07ad62d8210c2862">color20</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>] &lt;= <a class="code" href="classvideo__priority.html#a578e2dacf94d79bc24e170edb9d4ce83">write_data</a>[<span class="vhdllogic">23</span>:<span class="vhdllogic">16</span>];
<a name="l01231"></a>01231             <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a249b3c483c8a21b7647b327bf3cd865e">write_address</a> == <span class="vhdllogic">5&#39;d10</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a73c42730890adabba7eb336985911647">write_sel</a>[<span class="vhdllogic">3</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classvideo__priority.html#ad25aa68683b8982f07ad62d8210c2862">color20</a>[<span class="vhdllogic">11</span>:<span class="vhdllogic">8</span>] &lt;= <a class="code" href="classvideo__priority.html#a578e2dacf94d79bc24e170edb9d4ce83">write_data</a>[<span class="vhdllogic">27</span>:<span class="vhdllogic">24</span>];
<a name="l01232"></a>01232             <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a249b3c483c8a21b7647b327bf3cd865e">write_address</a> == <span class="vhdllogic">5&#39;d11</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a73c42730890adabba7eb336985911647">write_sel</a>[<span class="vhdllogic">0</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classvideo__priority.html#aca4c1b490797abd17be5f3bb0e7c2eaf">color23</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>] &lt;= <a class="code" href="classvideo__priority.html#a578e2dacf94d79bc24e170edb9d4ce83">write_data</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>];
<a name="l01233"></a>01233             <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a249b3c483c8a21b7647b327bf3cd865e">write_address</a> == <span class="vhdllogic">5&#39;d11</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a73c42730890adabba7eb336985911647">write_sel</a>[<span class="vhdllogic">1</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classvideo__priority.html#aca4c1b490797abd17be5f3bb0e7c2eaf">color23</a>[<span class="vhdllogic">11</span>:<span class="vhdllogic">8</span>] &lt;= <a class="code" href="classvideo__priority.html#a578e2dacf94d79bc24e170edb9d4ce83">write_data</a>[<span class="vhdllogic">11</span>:<span class="vhdllogic">8</span>];
<a name="l01234"></a>01234             <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a249b3c483c8a21b7647b327bf3cd865e">write_address</a> == <span class="vhdllogic">5&#39;d11</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a73c42730890adabba7eb336985911647">write_sel</a>[<span class="vhdllogic">2</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classvideo__priority.html#ae01ee36a38fda5be416ba46bd4c1e59b">color22</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>] &lt;= <a class="code" href="classvideo__priority.html#a578e2dacf94d79bc24e170edb9d4ce83">write_data</a>[<span class="vhdllogic">23</span>:<span class="vhdllogic">16</span>];
<a name="l01235"></a>01235             <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a249b3c483c8a21b7647b327bf3cd865e">write_address</a> == <span class="vhdllogic">5&#39;d11</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a73c42730890adabba7eb336985911647">write_sel</a>[<span class="vhdllogic">3</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classvideo__priority.html#ae01ee36a38fda5be416ba46bd4c1e59b">color22</a>[<span class="vhdllogic">11</span>:<span class="vhdllogic">8</span>] &lt;= <a class="code" href="classvideo__priority.html#a578e2dacf94d79bc24e170edb9d4ce83">write_data</a>[<span class="vhdllogic">27</span>:<span class="vhdllogic">24</span>];
<a name="l01236"></a>01236             <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a249b3c483c8a21b7647b327bf3cd865e">write_address</a> == <span class="vhdllogic">5&#39;d12</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a73c42730890adabba7eb336985911647">write_sel</a>[<span class="vhdllogic">0</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classvideo__priority.html#ac29d6732d6fdd90a1643c679ceda91a5">color25</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>] &lt;= <a class="code" href="classvideo__priority.html#a578e2dacf94d79bc24e170edb9d4ce83">write_data</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>];
<a name="l01237"></a>01237             <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a249b3c483c8a21b7647b327bf3cd865e">write_address</a> == <span class="vhdllogic">5&#39;d12</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a73c42730890adabba7eb336985911647">write_sel</a>[<span class="vhdllogic">1</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classvideo__priority.html#ac29d6732d6fdd90a1643c679ceda91a5">color25</a>[<span class="vhdllogic">11</span>:<span class="vhdllogic">8</span>] &lt;= <a class="code" href="classvideo__priority.html#a578e2dacf94d79bc24e170edb9d4ce83">write_data</a>[<span class="vhdllogic">11</span>:<span class="vhdllogic">8</span>];
<a name="l01238"></a>01238             <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a249b3c483c8a21b7647b327bf3cd865e">write_address</a> == <span class="vhdllogic">5&#39;d12</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a73c42730890adabba7eb336985911647">write_sel</a>[<span class="vhdllogic">2</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classvideo__priority.html#ae8b790fffbce469347f7b383aea7f46e">color24</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>] &lt;= <a class="code" href="classvideo__priority.html#a578e2dacf94d79bc24e170edb9d4ce83">write_data</a>[<span class="vhdllogic">23</span>:<span class="vhdllogic">16</span>];
<a name="l01239"></a>01239             <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a249b3c483c8a21b7647b327bf3cd865e">write_address</a> == <span class="vhdllogic">5&#39;d12</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a73c42730890adabba7eb336985911647">write_sel</a>[<span class="vhdllogic">3</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classvideo__priority.html#ae8b790fffbce469347f7b383aea7f46e">color24</a>[<span class="vhdllogic">11</span>:<span class="vhdllogic">8</span>] &lt;= <a class="code" href="classvideo__priority.html#a578e2dacf94d79bc24e170edb9d4ce83">write_data</a>[<span class="vhdllogic">27</span>:<span class="vhdllogic">24</span>];
<a name="l01240"></a>01240             <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a249b3c483c8a21b7647b327bf3cd865e">write_address</a> == <span class="vhdllogic">5&#39;d13</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a73c42730890adabba7eb336985911647">write_sel</a>[<span class="vhdllogic">0</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classvideo__priority.html#ab35f095d1ade0cbadb345cfd8529307f">color27</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>] &lt;= <a class="code" href="classvideo__priority.html#a578e2dacf94d79bc24e170edb9d4ce83">write_data</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>];
<a name="l01241"></a>01241             <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a249b3c483c8a21b7647b327bf3cd865e">write_address</a> == <span class="vhdllogic">5&#39;d13</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a73c42730890adabba7eb336985911647">write_sel</a>[<span class="vhdllogic">1</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classvideo__priority.html#ab35f095d1ade0cbadb345cfd8529307f">color27</a>[<span class="vhdllogic">11</span>:<span class="vhdllogic">8</span>] &lt;= <a class="code" href="classvideo__priority.html#a578e2dacf94d79bc24e170edb9d4ce83">write_data</a>[<span class="vhdllogic">11</span>:<span class="vhdllogic">8</span>];
<a name="l01242"></a>01242             <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a249b3c483c8a21b7647b327bf3cd865e">write_address</a> == <span class="vhdllogic">5&#39;d13</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a73c42730890adabba7eb336985911647">write_sel</a>[<span class="vhdllogic">2</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classvideo__priority.html#a50ac9ae51d6d01efa5d7428ce5d94d50">color26</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>] &lt;= <a class="code" href="classvideo__priority.html#a578e2dacf94d79bc24e170edb9d4ce83">write_data</a>[<span class="vhdllogic">23</span>:<span class="vhdllogic">16</span>];
<a name="l01243"></a>01243             <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a249b3c483c8a21b7647b327bf3cd865e">write_address</a> == <span class="vhdllogic">5&#39;d13</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a73c42730890adabba7eb336985911647">write_sel</a>[<span class="vhdllogic">3</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classvideo__priority.html#a50ac9ae51d6d01efa5d7428ce5d94d50">color26</a>[<span class="vhdllogic">11</span>:<span class="vhdllogic">8</span>] &lt;= <a class="code" href="classvideo__priority.html#a578e2dacf94d79bc24e170edb9d4ce83">write_data</a>[<span class="vhdllogic">27</span>:<span class="vhdllogic">24</span>];
<a name="l01244"></a>01244             <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a249b3c483c8a21b7647b327bf3cd865e">write_address</a> == <span class="vhdllogic">5&#39;d14</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a73c42730890adabba7eb336985911647">write_sel</a>[<span class="vhdllogic">0</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classvideo__priority.html#a99c84aa517637ca98541edd02a0d94de">color29</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>] &lt;= <a class="code" href="classvideo__priority.html#a578e2dacf94d79bc24e170edb9d4ce83">write_data</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>];
<a name="l01245"></a>01245             <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a249b3c483c8a21b7647b327bf3cd865e">write_address</a> == <span class="vhdllogic">5&#39;d14</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a73c42730890adabba7eb336985911647">write_sel</a>[<span class="vhdllogic">1</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classvideo__priority.html#a99c84aa517637ca98541edd02a0d94de">color29</a>[<span class="vhdllogic">11</span>:<span class="vhdllogic">8</span>] &lt;= <a class="code" href="classvideo__priority.html#a578e2dacf94d79bc24e170edb9d4ce83">write_data</a>[<span class="vhdllogic">11</span>:<span class="vhdllogic">8</span>];
<a name="l01246"></a>01246             <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a249b3c483c8a21b7647b327bf3cd865e">write_address</a> == <span class="vhdllogic">5&#39;d14</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a73c42730890adabba7eb336985911647">write_sel</a>[<span class="vhdllogic">2</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classvideo__priority.html#a925899e6a75022d27b7ee27ab06ea40f">color28</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>] &lt;= <a class="code" href="classvideo__priority.html#a578e2dacf94d79bc24e170edb9d4ce83">write_data</a>[<span class="vhdllogic">23</span>:<span class="vhdllogic">16</span>];
<a name="l01247"></a>01247             <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a249b3c483c8a21b7647b327bf3cd865e">write_address</a> == <span class="vhdllogic">5&#39;d14</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a73c42730890adabba7eb336985911647">write_sel</a>[<span class="vhdllogic">3</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classvideo__priority.html#a925899e6a75022d27b7ee27ab06ea40f">color28</a>[<span class="vhdllogic">11</span>:<span class="vhdllogic">8</span>] &lt;= <a class="code" href="classvideo__priority.html#a578e2dacf94d79bc24e170edb9d4ce83">write_data</a>[<span class="vhdllogic">27</span>:<span class="vhdllogic">24</span>];
<a name="l01248"></a>01248             <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a249b3c483c8a21b7647b327bf3cd865e">write_address</a> == <span class="vhdllogic">5&#39;d15</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a73c42730890adabba7eb336985911647">write_sel</a>[<span class="vhdllogic">0</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classvideo__priority.html#a84580d667f4fdfcaa80a874d772c9f10">color31</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>] &lt;= <a class="code" href="classvideo__priority.html#a578e2dacf94d79bc24e170edb9d4ce83">write_data</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>];
<a name="l01249"></a>01249             <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a249b3c483c8a21b7647b327bf3cd865e">write_address</a> == <span class="vhdllogic">5&#39;d15</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a73c42730890adabba7eb336985911647">write_sel</a>[<span class="vhdllogic">1</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classvideo__priority.html#a84580d667f4fdfcaa80a874d772c9f10">color31</a>[<span class="vhdllogic">11</span>:<span class="vhdllogic">8</span>] &lt;= <a class="code" href="classvideo__priority.html#a578e2dacf94d79bc24e170edb9d4ce83">write_data</a>[<span class="vhdllogic">11</span>:<span class="vhdllogic">8</span>];
<a name="l01250"></a>01250             <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a249b3c483c8a21b7647b327bf3cd865e">write_address</a> == <span class="vhdllogic">5&#39;d15</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a73c42730890adabba7eb336985911647">write_sel</a>[<span class="vhdllogic">2</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classvideo__priority.html#ad850b35ef346412c52a8b124ebf7a245">color30</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>] &lt;= <a class="code" href="classvideo__priority.html#a578e2dacf94d79bc24e170edb9d4ce83">write_data</a>[<span class="vhdllogic">23</span>:<span class="vhdllogic">16</span>];
<a name="l01251"></a>01251             <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a249b3c483c8a21b7647b327bf3cd865e">write_address</a> == <span class="vhdllogic">5&#39;d15</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a73c42730890adabba7eb336985911647">write_sel</a>[<span class="vhdllogic">3</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classvideo__priority.html#ad850b35ef346412c52a8b124ebf7a245">color30</a>[<span class="vhdllogic">11</span>:<span class="vhdllogic">8</span>] &lt;= <a class="code" href="classvideo__priority.html#a578e2dacf94d79bc24e170edb9d4ce83">write_data</a>[<span class="vhdllogic">27</span>:<span class="vhdllogic">24</span>];
<a name="l01252"></a>01252             <span class="keyword">// 16:      DIWSTRT [15:0],     COPINS      [31:16], * COPINS not implemented  </span>
<a name="l01253"></a>01253             <span class="keyword">// 17:      DIWSTOP [31:16],    DDFSTART    [15:0], *</span>
<a name="l01254"></a>01254             <span class="keyword">// 18:      CLXCON  [31:16],    INTENA      [15:0], *</span>
<a name="l01255"></a>01255             <span class="keyword">// 19:      BPLCON2 [31:16],    NOT USED    [15:0],</span>
<a name="l01256"></a>01256             <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a249b3c483c8a21b7647b327bf3cd865e">write_address</a> == <span class="vhdllogic">5&#39;d16</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a73c42730890adabba7eb336985911647">write_sel</a>[<span class="vhdllogic">0</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classvideo__priority.html#a233c298e13d06cf13784e5fba6a236ea">diw_start</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>] &lt;= <a class="code" href="classvideo__priority.html#a578e2dacf94d79bc24e170edb9d4ce83">write_data</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>];
<a name="l01257"></a>01257             <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a249b3c483c8a21b7647b327bf3cd865e">write_address</a> == <span class="vhdllogic">5&#39;d16</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a73c42730890adabba7eb336985911647">write_sel</a>[<span class="vhdllogic">1</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classvideo__priority.html#a233c298e13d06cf13784e5fba6a236ea">diw_start</a>[<span class="vhdllogic">15</span>:<span class="vhdllogic">8</span>] &lt;= <a class="code" href="classvideo__priority.html#a578e2dacf94d79bc24e170edb9d4ce83">write_data</a>[<span class="vhdllogic">15</span>:<span class="vhdllogic">8</span>];
<a name="l01258"></a>01258             <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a249b3c483c8a21b7647b327bf3cd865e">write_address</a> == <span class="vhdllogic">5&#39;d16</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a73c42730890adabba7eb336985911647">write_sel</a>[<span class="vhdllogic">2</span>] == <span class="vhdllogic">1&#39;b1</span>) ;
<a name="l01259"></a>01259             <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a249b3c483c8a21b7647b327bf3cd865e">write_address</a> == <span class="vhdllogic">5&#39;d16</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a73c42730890adabba7eb336985911647">write_sel</a>[<span class="vhdllogic">3</span>] == <span class="vhdllogic">1&#39;b1</span>) ;
<a name="l01260"></a>01260             <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a249b3c483c8a21b7647b327bf3cd865e">write_address</a> == <span class="vhdllogic">5&#39;d17</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a73c42730890adabba7eb336985911647">write_sel</a>[<span class="vhdllogic">0</span>] == <span class="vhdllogic">1&#39;b1</span>) ;
<a name="l01261"></a>01261             <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a249b3c483c8a21b7647b327bf3cd865e">write_address</a> == <span class="vhdllogic">5&#39;d17</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a73c42730890adabba7eb336985911647">write_sel</a>[<span class="vhdllogic">1</span>] == <span class="vhdllogic">1&#39;b1</span>) ;
<a name="l01262"></a>01262             <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a249b3c483c8a21b7647b327bf3cd865e">write_address</a> == <span class="vhdllogic">5&#39;d17</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a73c42730890adabba7eb336985911647">write_sel</a>[<span class="vhdllogic">2</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classvideo__priority.html#a78d6e67d14db050acbe932c7cad959db">diw_stop</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>] &lt;= <a class="code" href="classvideo__priority.html#a578e2dacf94d79bc24e170edb9d4ce83">write_data</a>[<span class="vhdllogic">23</span>:<span class="vhdllogic">16</span>];
<a name="l01263"></a>01263             <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a249b3c483c8a21b7647b327bf3cd865e">write_address</a> == <span class="vhdllogic">5&#39;d17</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a73c42730890adabba7eb336985911647">write_sel</a>[<span class="vhdllogic">3</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classvideo__priority.html#a78d6e67d14db050acbe932c7cad959db">diw_stop</a>[<span class="vhdllogic">15</span>:<span class="vhdllogic">8</span>] &lt;= <a class="code" href="classvideo__priority.html#a578e2dacf94d79bc24e170edb9d4ce83">write_data</a>[<span class="vhdllogic">31</span>:<span class="vhdllogic">24</span>];
<a name="l01264"></a>01264             <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a249b3c483c8a21b7647b327bf3cd865e">write_address</a> == <span class="vhdllogic">5&#39;d18</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a73c42730890adabba7eb336985911647">write_sel</a>[<span class="vhdllogic">0</span>] == <span class="vhdllogic">1&#39;b1</span>) ;
<a name="l01265"></a>01265             <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a249b3c483c8a21b7647b327bf3cd865e">write_address</a> == <span class="vhdllogic">5&#39;d18</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a73c42730890adabba7eb336985911647">write_sel</a>[<span class="vhdllogic">1</span>] == <span class="vhdllogic">1&#39;b1</span>) ;
<a name="l01266"></a>01266             <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a249b3c483c8a21b7647b327bf3cd865e">write_address</a> == <span class="vhdllogic">5&#39;d18</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a73c42730890adabba7eb336985911647">write_sel</a>[<span class="vhdllogic">2</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classvideo__priority.html#a6286ce350ac15a4de6a179a82d7f1262">clx_con</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>] &lt;= <a class="code" href="classvideo__priority.html#a578e2dacf94d79bc24e170edb9d4ce83">write_data</a>[<span class="vhdllogic">23</span>:<span class="vhdllogic">16</span>];
<a name="l01267"></a>01267             <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a249b3c483c8a21b7647b327bf3cd865e">write_address</a> == <span class="vhdllogic">5&#39;d18</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a73c42730890adabba7eb336985911647">write_sel</a>[<span class="vhdllogic">3</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classvideo__priority.html#a6286ce350ac15a4de6a179a82d7f1262">clx_con</a>[<span class="vhdllogic">15</span>:<span class="vhdllogic">8</span>] &lt;= <a class="code" href="classvideo__priority.html#a578e2dacf94d79bc24e170edb9d4ce83">write_data</a>[<span class="vhdllogic">31</span>:<span class="vhdllogic">24</span>];
<a name="l01268"></a>01268             <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a249b3c483c8a21b7647b327bf3cd865e">write_address</a> == <span class="vhdllogic">5&#39;d19</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a73c42730890adabba7eb336985911647">write_sel</a>[<span class="vhdllogic">0</span>] == <span class="vhdllogic">1&#39;b1</span>) ;
<a name="l01269"></a>01269             <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a249b3c483c8a21b7647b327bf3cd865e">write_address</a> == <span class="vhdllogic">5&#39;d19</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a73c42730890adabba7eb336985911647">write_sel</a>[<span class="vhdllogic">1</span>] == <span class="vhdllogic">1&#39;b1</span>) ;
<a name="l01270"></a>01270             <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a249b3c483c8a21b7647b327bf3cd865e">write_address</a> == <span class="vhdllogic">5&#39;d19</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a73c42730890adabba7eb336985911647">write_sel</a>[<span class="vhdllogic">2</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classvideo__priority.html#a3edf8c75f1b3f4cecb690f098c94885a">bpl_con2</a> &lt;= <a class="code" href="classvideo__priority.html#a578e2dacf94d79bc24e170edb9d4ce83">write_data</a>[<span class="vhdllogic">22</span>:<span class="vhdllogic">16</span>];
<a name="l01271"></a>01271             <span class="vhdlkeyword">if</span>(<a class="code" href="classvideo__priority.html#a249b3c483c8a21b7647b327bf3cd865e">write_address</a> == <span class="vhdllogic">5&#39;d19</span> &amp;&amp; <a class="code" href="classvideo__priority.html#a73c42730890adabba7eb336985911647">write_sel</a>[<span class="vhdllogic">3</span>] == <span class="vhdllogic">1&#39;b1</span>) ;
<a name="l01272"></a>01272         <span class="vhdlkeyword">end</span>
<a name="l01273"></a>01273     <span class="vhdlkeyword">end</span>
<a name="l01274"></a>01274 <span class="vhdlkeyword">end</span>
<a name="l01275"></a>01275 
<a name="l01276"></a>01276 <span class="vhdlkeyword">endmodule</span>
<a name="l01277"></a>01277 
<a name="l01278"></a>01278 <span class="keyword">/*! \brief Bitplain top level module with multiplexers to internal bitplain module instances.</span>
<a name="l01279"></a>01279 <span class="keyword"> */</span>
<a name="l01280"></a><a class="code" href="classbitplains.html">01280</a> <span class="vhdlkeyword">module</span> <a class="code" href="classbitplains.html">bitplains</a>(
<a name="l01281"></a><a class="code" href="classbitplains.html#a278d0a2942b3abca58cae15fcc28a7b2">01281</a>     <span class="vhdlkeyword">input</span> <a class="code" href="classbitplains.html#a278d0a2942b3abca58cae15fcc28a7b2">CLK_I</a>,
<a name="l01282"></a><a class="code" href="classbitplains.html#a46f7b8b0e114227368a79452fb8323d5">01282</a>     <span class="vhdlkeyword">input</span> <a class="code" href="classbitplains.html#a46f7b8b0e114227368a79452fb8323d5">reset_n</a>,
<a name="l01283"></a>01283     
<a name="l01284"></a><a class="code" href="classbitplains.html#ad1179b6c7d81990b05ce599548d2e03d">01284</a>     <span class="vhdlkeyword">input</span> <a class="code" href="classbitplains.html#ad1179b6c7d81990b05ce599548d2e03d">line_start</a>,
<a name="l01285"></a><a class="code" href="classbitplains.html#aced59ad75c2796f4ebabd5d4a94edeb6">01285</a>     <span class="vhdlkeyword">input</span> [<span class="vhdllogic">8</span>:<span class="vhdllogic">0</span>] <a class="code" href="classbitplains.html#aced59ad75c2796f4ebabd5d4a94edeb6">column_number</a>,
<a name="l01286"></a>01286     
<a name="l01287"></a>01287     <span class="keyword">// video interface - read</span>
<a name="l01288"></a><a class="code" href="classbitplains.html#aa2c0c712d7f58ff4b749616b4f9e3b8c">01288</a>     <span class="vhdlkeyword">input</span> <a class="code" href="classbitplains.html#aa2c0c712d7f58ff4b749616b4f9e3b8c">burst_read_enabled</a>,
<a name="l01289"></a><a class="code" href="classbitplains.html#a7657a3a9d83a0c9b2e5b7cf54459fabc">01289</a>     <span class="vhdlkeyword">output</span> <a class="code" href="classbitplains.html#a7657a3a9d83a0c9b2e5b7cf54459fabc">burst_read_request</a>,
<a name="l01290"></a><a class="code" href="classbitplains.html#a598a850ba6eaa6d134cc8380131b49bf">01290</a>     <span class="vhdlkeyword">output</span> [<span class="vhdllogic">31</span>:<span class="vhdllogic">2</span>] <a class="code" href="classbitplains.html#a598a850ba6eaa6d134cc8380131b49bf">burst_read_address</a>,
<a name="l01291"></a><a class="code" href="classbitplains.html#ac59e0e6175e6d48a187c1d211c0d213d">01291</a>     <span class="vhdlkeyword">input</span> <a class="code" href="classbitplains.html#ac59e0e6175e6d48a187c1d211c0d213d">burst_read_ready</a>,
<a name="l01292"></a><a class="code" href="classbitplains.html#ab6ddaa2d8facfb0da3bb91e6a00f9a84">01292</a>     <span class="vhdlkeyword">input</span> [<span class="vhdllogic">31</span>:<span class="vhdllogic">0</span>] <a class="code" href="classbitplains.html#ab6ddaa2d8facfb0da3bb91e6a00f9a84">burst_read_data</a>,  
<a name="l01293"></a>01293     
<a name="l01294"></a><a class="code" href="classbitplains.html#a26d2e3fe4c3184018034e3accf865a9f">01294</a>     <span class="vhdlkeyword">input</span> <a class="code" href="classbitplains.html#a26d2e3fe4c3184018034e3accf865a9f">write_ena</a>,
<a name="l01295"></a>01295     <span class="keyword">// 0:   BPL1PTH,    BPL1PTL,</span>
<a name="l01296"></a>01296     <span class="keyword">// 1:   BPL2PTH,    BPL2PTL,</span>
<a name="l01297"></a>01297     <span class="keyword">// 2:   BPL3PTH,    BPL3PTL,</span>
<a name="l01298"></a>01298     <span class="keyword">// 3:   BPL4PTH,    BPL4PTL,</span>
<a name="l01299"></a>01299     <span class="keyword">// 4:   BPL5PTH,    BPL5PTL,</span>
<a name="l01300"></a>01300     <span class="keyword">// 5:   BPL6PTH,    BPL6PTL,</span>
<a name="l01301"></a>01301     <span class="keyword">// 6:       DDFSTRT [15:0],     DIWSTOP [31:16], *</span>
<a name="l01302"></a>01302     <span class="keyword">// 7:       DDFSTOP [31:16],    DMACON  [15:0], *</span>
<a name="l01303"></a>01303     <span class="keyword">// 8:   BPLCON0,    BPLCON1,</span>
<a name="l01304"></a>01304     <span class="keyword">// 9:   BPL1MOD,    BPL2MOD,</span>
<a name="l01305"></a>01305     <span class="keyword">// 10:  BPL1DAT,    BPL2DAT,</span>
<a name="l01306"></a>01306     <span class="keyword">// 11:  BPL3DAT,    BPL4DAT,</span>
<a name="l01307"></a>01307     <span class="keyword">// 12:  BPL5DAT,    BPL6DAT</span>
<a name="l01308"></a><a class="code" href="classbitplains.html#a82da180e2f90d8aea5d9b9b597d0aa50">01308</a>     <span class="vhdlkeyword">input</span> [<span class="vhdllogic">3</span>:<span class="vhdllogic">0</span>] <a class="code" href="classbitplains.html#a82da180e2f90d8aea5d9b9b597d0aa50">write_address</a>,
<a name="l01309"></a><a class="code" href="classbitplains.html#a835e5c88d48823747837e1ad8ebbe364">01309</a>     <span class="vhdlkeyword">input</span> [<span class="vhdllogic">31</span>:<span class="vhdllogic">0</span>] <a class="code" href="classbitplains.html#a835e5c88d48823747837e1ad8ebbe364">write_data</a>,
<a name="l01310"></a><a class="code" href="classbitplains.html#ae9bf37892b79f8664e222f13d1ed2b32">01310</a>     <span class="vhdlkeyword">input</span> [<span class="vhdllogic">3</span>:<span class="vhdllogic">0</span>] <a class="code" href="classbitplains.html#ae9bf37892b79f8664e222f13d1ed2b32">write_sel</a>,
<a name="l01311"></a>01311     
<a name="l01312"></a><a class="code" href="classbitplains.html#aa2fafb8c23de9879a62f14dce6981949">01312</a>     <span class="vhdlkeyword">output</span> [<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>] <a class="code" href="classbitplains.html#aa2fafb8c23de9879a62f14dce6981949">disable_sprites</a>,
<a name="l01313"></a><a class="code" href="classbitplains.html#af80537ffa07a14f250b6220289c9bfee">01313</a>     <span class="vhdlkeyword">output</span> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">10</span>:<span class="vhdllogic">0</span>] <a class="code" href="classbitplains.html#af80537ffa07a14f250b6220289c9bfee">bpl_con0</a>,
<a name="l01314"></a><a class="code" href="classbitplains.html#ac2c3f5e3e05cdc90e00b8869b1ee8ade">01314</a>     <span class="vhdlkeyword">output</span> [<span class="vhdllogic">5</span>:<span class="vhdllogic">0</span>] <a class="code" href="classbitplains.html#ac2c3f5e3e05cdc90e00b8869b1ee8ade">color</a>,
<a name="l01315"></a>01315     
<a name="l01316"></a><a class="code" href="classbitplains.html#aceecb4130658c4703542c6a00161b8e4">01316</a>     <span class="vhdlkeyword">input</span> [<span class="vhdllogic">8</span>:<span class="vhdllogic">0</span>] <a class="code" href="classbitplains.html#aceecb4130658c4703542c6a00161b8e4">line_number</a>
<a name="l01317"></a>01317 );
<a name="l01318"></a>01318 
<a name="l01319"></a>01319 <span class="vhdlkeyword">assign</span> <a class="code" href="classbitplains.html#aa2fafb8c23de9879a62f14dce6981949">disable_sprites</a> = {
<a name="l01320"></a>01320     (<a class="code" href="classbitplains.html#a2c538bc96e2ab2a893b1b3b6fe787450">ddf_start</a>[<span class="vhdllogic">8</span>:<span class="vhdllogic">3</span>] &lt; <span class="vhdllogic">6&#39;d14</span>),
<a name="l01321"></a>01321     (<a class="code" href="classbitplains.html#a2c538bc96e2ab2a893b1b3b6fe787450">ddf_start</a>[<span class="vhdllogic">8</span>:<span class="vhdllogic">3</span>] &lt; <span class="vhdllogic">6&#39;d13</span>),
<a name="l01322"></a>01322     (<a class="code" href="classbitplains.html#a2c538bc96e2ab2a893b1b3b6fe787450">ddf_start</a>[<span class="vhdllogic">8</span>:<span class="vhdllogic">3</span>] &lt; <span class="vhdllogic">6&#39;d12</span>),
<a name="l01323"></a>01323     (<a class="code" href="classbitplains.html#a2c538bc96e2ab2a893b1b3b6fe787450">ddf_start</a>[<span class="vhdllogic">8</span>:<span class="vhdllogic">3</span>] &lt; <span class="vhdllogic">6&#39;d11</span>),
<a name="l01324"></a>01324     (<a class="code" href="classbitplains.html#a2c538bc96e2ab2a893b1b3b6fe787450">ddf_start</a>[<span class="vhdllogic">8</span>:<span class="vhdllogic">3</span>] &lt; <span class="vhdllogic">6&#39;d10</span>),
<a name="l01325"></a>01325     (<a class="code" href="classbitplains.html#a2c538bc96e2ab2a893b1b3b6fe787450">ddf_start</a>[<span class="vhdllogic">8</span>:<span class="vhdllogic">3</span>] &lt; <span class="vhdllogic">6&#39;d9</span>),
<a name="l01326"></a>01326     (<a class="code" href="classbitplains.html#a2c538bc96e2ab2a893b1b3b6fe787450">ddf_start</a>[<span class="vhdllogic">8</span>:<span class="vhdllogic">3</span>] &lt; <span class="vhdllogic">6&#39;d8</span>),
<a name="l01327"></a>01327     (<a class="code" href="classbitplains.html#a2c538bc96e2ab2a893b1b3b6fe787450">ddf_start</a>[<span class="vhdllogic">8</span>:<span class="vhdllogic">3</span>] &lt; <span class="vhdllogic">6&#39;d7</span>)
<a name="l01328"></a>01328 };
<a name="l01329"></a>01329 
<a name="l01330"></a><a class="code" href="classbitplains.html#a2c538bc96e2ab2a893b1b3b6fe787450">01330</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">8</span>:<span class="vhdllogic">3</span>] <a class="code" href="classbitplains.html#a2c538bc96e2ab2a893b1b3b6fe787450">ddf_start</a>;
<a name="l01331"></a><a class="code" href="classbitplains.html#a4cb099da69fde2deacf00856f134b6c2">01331</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">8</span>:<span class="vhdllogic">3</span>] <a class="code" href="classbitplains.html#a4cb099da69fde2deacf00856f134b6c2">ddf_stop</a>;
<a name="l01332"></a>01332 
<a name="l01333"></a><a class="code" href="classbitplains.html#ad6c0674fc7f161c36b622b9821bf7eef">01333</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">31</span>:<span class="vhdllogic">0</span>] <a class="code" href="classbitplains.html#ad6c0674fc7f161c36b622b9821bf7eef">bpl_modulo</a>;
<a name="l01334"></a>01334 
<a name="l01335"></a>01335 <span class="keyword">// output reg [10:0] bpl_con0</span>
<a name="l01336"></a><a class="code" href="classbitplains.html#a4a29a792d92eccec4a11bd1e7829248a">01336</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>] <a class="code" href="classbitplains.html#a4a29a792d92eccec4a11bd1e7829248a">bpl_con1</a>;
<a name="l01337"></a>01337 
<a name="l01338"></a><a class="code" href="classbitplains.html#a9748b53f529239d24373c4d5e998fdea">01338</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">5</span>:<span class="vhdllogic">0</span>] <a class="code" href="classbitplains.html#a9748b53f529239d24373c4d5e998fdea">dma_reqs_reg</a>;
<a name="l01339"></a><a class="code" href="classbitplains.html#ad1a8573f29281fff25e8f8e9ce28b2be">01339</a> <span class="vhdlkeyword">wire</span> [<span class="vhdllogic">5</span>:<span class="vhdllogic">0</span>] <a class="code" href="classbitplains.html#ad1a8573f29281fff25e8f8e9ce28b2be">dma_reqs</a>;
<a name="l01340"></a><a class="code" href="classbitplains.html#a1d58456dacfa0a28823a2c83ea4d1e41">01340</a> <span class="vhdlkeyword">wire</span> [<span class="vhdllogic">2</span>:<span class="vhdllogic">0</span>] <a class="code" href="classbitplains.html#a1d58456dacfa0a28823a2c83ea4d1e41">selected_bpl</a>;
<a name="l01341"></a>01341 <span class="vhdlkeyword">assign</span> <a class="code" href="classbitplains.html#a1d58456dacfa0a28823a2c83ea4d1e41">selected_bpl</a> = 
<a name="l01342"></a>01342     (<a class="code" href="classbitplains.html#a9748b53f529239d24373c4d5e998fdea">dma_reqs_reg</a>[<span class="vhdllogic">0</span>] == <span class="vhdllogic">1&#39;b1</span>) ? <span class="vhdllogic">3&#39;d1</span> :
<a name="l01343"></a>01343     (<a class="code" href="classbitplains.html#a9748b53f529239d24373c4d5e998fdea">dma_reqs_reg</a>[<span class="vhdllogic">1</span>] == <span class="vhdllogic">1&#39;b1</span>) ? <span class="vhdllogic">3&#39;d2</span> :
<a name="l01344"></a>01344     (<a class="code" href="classbitplains.html#a9748b53f529239d24373c4d5e998fdea">dma_reqs_reg</a>[<span class="vhdllogic">2</span>] == <span class="vhdllogic">1&#39;b1</span>) ? <span class="vhdllogic">3&#39;d3</span> :
<a name="l01345"></a>01345     (<a class="code" href="classbitplains.html#a9748b53f529239d24373c4d5e998fdea">dma_reqs_reg</a>[<span class="vhdllogic">3</span>] == <span class="vhdllogic">1&#39;b1</span>) ? <span class="vhdllogic">3&#39;d4</span> :
<a name="l01346"></a>01346     (<a class="code" href="classbitplains.html#a9748b53f529239d24373c4d5e998fdea">dma_reqs_reg</a>[<span class="vhdllogic">4</span>] == <span class="vhdllogic">1&#39;b1</span>) ? <span class="vhdllogic">3&#39;d5</span> :
<a name="l01347"></a>01347     (<a class="code" href="classbitplains.html#a9748b53f529239d24373c4d5e998fdea">dma_reqs_reg</a>[<span class="vhdllogic">5</span>] == <span class="vhdllogic">1&#39;b1</span>) ? <span class="vhdllogic">3&#39;d6</span> :
<a name="l01348"></a>01348     <span class="vhdllogic">3&#39;d0</span>;
<a name="l01349"></a>01349 
<a name="l01350"></a>01350 <span class="vhdlkeyword">assign</span> <a class="code" href="classbitplains.html#a598a850ba6eaa6d134cc8380131b49bf">burst_read_address</a> =
<a name="l01351"></a>01351     (<a class="code" href="classbitplains.html#a1d58456dacfa0a28823a2c83ea4d1e41">selected_bpl</a> == <span class="vhdllogic">3&#39;d1</span>) ? <a class="code" href="classbitplains.html#af93794a3e1063a964cc576b7336d229e">dma_address_1</a> :
<a name="l01352"></a>01352     (<a class="code" href="classbitplains.html#a1d58456dacfa0a28823a2c83ea4d1e41">selected_bpl</a> == <span class="vhdllogic">3&#39;d2</span>) ? <a class="code" href="classbitplains.html#ab302bd0179b3486f4f9bffdcda02e10e">dma_address_2</a> :
<a name="l01353"></a>01353     (<a class="code" href="classbitplains.html#a1d58456dacfa0a28823a2c83ea4d1e41">selected_bpl</a> == <span class="vhdllogic">3&#39;d3</span>) ? <a class="code" href="classbitplains.html#a77090f580fde7aa66dca9211543f6794">dma_address_3</a> :
<a name="l01354"></a>01354     (<a class="code" href="classbitplains.html#a1d58456dacfa0a28823a2c83ea4d1e41">selected_bpl</a> == <span class="vhdllogic">3&#39;d4</span>) ? <a class="code" href="classbitplains.html#afb87164244f5362fcffea0ac0d394b68">dma_address_4</a> :
<a name="l01355"></a>01355     (<a class="code" href="classbitplains.html#a1d58456dacfa0a28823a2c83ea4d1e41">selected_bpl</a> == <span class="vhdllogic">3&#39;d5</span>) ? <a class="code" href="classbitplains.html#aad8695735de24a497a3db7f6b13802df">dma_address_5</a> :
<a name="l01356"></a>01356     (<a class="code" href="classbitplains.html#a1d58456dacfa0a28823a2c83ea4d1e41">selected_bpl</a> == <span class="vhdllogic">3&#39;d6</span>) ? <a class="code" href="classbitplains.html#aec79c90363f823f78ee4334ec691936d">dma_address_6</a> :
<a name="l01357"></a>01357     <span class="vhdllogic">30&#39;d0</span>;
<a name="l01358"></a>01358 
<a name="l01359"></a>01359 <span class="vhdlkeyword">assign</span> <a class="code" href="classbitplains.html#a7657a3a9d83a0c9b2e5b7cf54459fabc">burst_read_request</a> =
<a name="l01360"></a>01360     (<a class="code" href="classbitplains.html#a1d58456dacfa0a28823a2c83ea4d1e41">selected_bpl</a> == <span class="vhdllogic">3&#39;d1</span>) ? <a class="code" href="classbitplains.html#ad1a8573f29281fff25e8f8e9ce28b2be">dma_reqs</a>[<span class="vhdllogic">0</span>] :
<a name="l01361"></a>01361     (<a class="code" href="classbitplains.html#a1d58456dacfa0a28823a2c83ea4d1e41">selected_bpl</a> == <span class="vhdllogic">3&#39;d2</span>) ? <a class="code" href="classbitplains.html#ad1a8573f29281fff25e8f8e9ce28b2be">dma_reqs</a>[<span class="vhdllogic">1</span>] :
<a name="l01362"></a>01362     (<a class="code" href="classbitplains.html#a1d58456dacfa0a28823a2c83ea4d1e41">selected_bpl</a> == <span class="vhdllogic">3&#39;d3</span>) ? <a class="code" href="classbitplains.html#ad1a8573f29281fff25e8f8e9ce28b2be">dma_reqs</a>[<span class="vhdllogic">2</span>] :
<a name="l01363"></a>01363     (<a class="code" href="classbitplains.html#a1d58456dacfa0a28823a2c83ea4d1e41">selected_bpl</a> == <span class="vhdllogic">3&#39;d4</span>) ? <a class="code" href="classbitplains.html#ad1a8573f29281fff25e8f8e9ce28b2be">dma_reqs</a>[<span class="vhdllogic">3</span>] :
<a name="l01364"></a>01364     (<a class="code" href="classbitplains.html#a1d58456dacfa0a28823a2c83ea4d1e41">selected_bpl</a> == <span class="vhdllogic">3&#39;d5</span>) ? <a class="code" href="classbitplains.html#ad1a8573f29281fff25e8f8e9ce28b2be">dma_reqs</a>[<span class="vhdllogic">4</span>] :
<a name="l01365"></a>01365     (<a class="code" href="classbitplains.html#a1d58456dacfa0a28823a2c83ea4d1e41">selected_bpl</a> == <span class="vhdllogic">3&#39;d6</span>) ? <a class="code" href="classbitplains.html#ad1a8573f29281fff25e8f8e9ce28b2be">dma_reqs</a>[<span class="vhdllogic">5</span>] :
<a name="l01366"></a>01366     <span class="vhdllogic">1&#39;b0</span>;
<a name="l01367"></a>01367 
<a name="l01368"></a><a class="code" href="classbitplains.html#af93794a3e1063a964cc576b7336d229e">01368</a> <span class="vhdlkeyword">wire</span> [<span class="vhdllogic">31</span>:<span class="vhdllogic">2</span>] <a class="code" href="classbitplains.html#af93794a3e1063a964cc576b7336d229e">dma_address_1</a>;
<a name="l01369"></a><a class="code" href="classbitplains.html#a3446a81849344d9c5ac0ac337f17aa79">01369</a> <a class="code" href="classbitplains.html#a9e962f99f32f38525ae61c343d82acdb">bitplain</a> <span class="vhdlchar">bitplain_1</span>(
<a name="l01370"></a>01370     .<a class="code" href="classbitplain.html#a7ccd0e57f63a130055f344366497ea76">bitplains</a>(<a class="code" href="classbitplain.html#a7ccd0e57f63a130055f344366497ea76">bitplains</a>),
<a name="l01371"></a>01371     .<a class="code" href="classbitplain.html#ac6055c1a293de7eff0d53a88e83d235b">bitplains</a>(<a class="code" href="classbitplain.html#ac6055c1a293de7eff0d53a88e83d235b">bitplains</a>),
<a name="l01372"></a>01372 
<a name="l01373"></a>01373     .<a class="code" href="classbitplain.html#a5ab185aa9b35e07760a5431145c0331f">bitplains</a>(<a class="code" href="classbitplain.html#a5ab185aa9b35e07760a5431145c0331f">bitplains</a>),
<a name="l01374"></a>01374     .<a class="code" href="classbitplain.html#a01e54581b418c2067c87f3d488a2f659">bitplains</a>(<a class="code" href="classbitplain.html#a01e54581b418c2067c87f3d488a2f659">bitplains</a>),
<a name="l01375"></a>01375     
<a name="l01376"></a>01376     .<a class="code" href="classbitplain.html#ae6b6b111bda0fd62fd6f04890ae87c67">bitplains</a>(<a class="code" href="classbitplain.html#ae6b6b111bda0fd62fd6f04890ae87c67">bitplains</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classbitplain.html#ac7de652ce719ac94872e6d69cafab953">bitplains</a>[<span class="vhdllogic">9</span>:<span class="vhdllogic">7</span>] &gt;= <span class="vhdllogic">3&#39;d1</span>), 
<a name="l01377"></a>01377     .<a class="code" href="classbitplain.html#aa6737bfc1d2ba5a82ebc8fd9c9e406e9">bitplains</a>(<a class="code" href="classbitplains.html#ad1a8573f29281fff25e8f8e9ce28b2be">dma_reqs</a>[<span class="vhdllogic">0</span>]),
<a name="l01378"></a>01378     .<a class="code" href="classbitplain.html#a4283fb470690f90bd06e9242985e237a">bitplains</a>(<a class="code" href="classbitplains.html#af93794a3e1063a964cc576b7336d229e">dma_address_1</a>),
<a name="l01379"></a>01379     .<a class="code" href="classbitplain.html#ac0d6c4bbc006c19c8df8411606f1a041">bitplains</a>(<a class="code" href="classbitplain.html#ac0d6c4bbc006c19c8df8411606f1a041">bitplains</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classbitplains.html#a1d58456dacfa0a28823a2c83ea4d1e41">selected_bpl</a> == <span class="vhdllogic">3&#39;d1</span>),
<a name="l01380"></a>01380     .<a class="code" href="classbitplain.html#a269596467b7dc4c1676982afd60ed4f4">bitplains</a>(<a class="code" href="classbitplain.html#a269596467b7dc4c1676982afd60ed4f4">bitplains</a>),
<a name="l01381"></a>01381     
<a name="l01382"></a>01382     .<a class="code" href="classbitplain.html#aa96750f5688607171be8cb35646cc01b">bitplains</a>(<a class="code" href="classbitplain.html#aa96750f5688607171be8cb35646cc01b">bitplains</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; (<a class="code" href="classbitplain.html#a31e3360c6e2b067c5ae066ff652ec955">bitplains</a> == <span class="vhdllogic">4&#39;d0</span> || <a class="code" href="classbitplain.html#a31e3360c6e2b067c5ae066ff652ec955">bitplains</a> == <span class="vhdllogic">4&#39;d10</span>)),
<a name="l01383"></a>01383     <span class="keyword">// 0:   BPLxPTH,    BPLxPTL,</span>
<a name="l01384"></a>01384     <span class="keyword">// 1:   BPLxDAT,    16&#39;d0</span>
<a name="l01385"></a>01385     .<a class="code" href="classbitplain.html#a31e3360c6e2b067c5ae066ff652ec955">bitplains</a>( (<a class="code" href="classbitplain.html#a31e3360c6e2b067c5ae066ff652ec955">bitplains</a> == <span class="vhdllogic">4&#39;d0</span>)? <span class="vhdllogic">1&#39;b0</span>       : <span class="vhdllogic">1&#39;b1</span>),
<a name="l01386"></a>01386     .<a class="code" href="classbitplain.html#ae9873d9d8080cb845dfdffa992e72335">bitplains</a>(    (<a class="code" href="classbitplain.html#a31e3360c6e2b067c5ae066ff652ec955">bitplains</a> == <span class="vhdllogic">4&#39;d0</span>)? <a class="code" href="classbitplain.html#ae9873d9d8080cb845dfdffa992e72335">bitplains</a> : {<a class="code" href="classbitplain.html#ae9873d9d8080cb845dfdffa992e72335">bitplains</a>[<span class="vhdllogic">31</span>:<span class="vhdllogic">16</span>], <span class="vhdllogic">16&#39;d0</span>}),
<a name="l01387"></a>01387     .<a class="code" href="classbitplain.html#a553900bd2f2f3405d344e297181fc057">bitplains</a>(     (<a class="code" href="classbitplain.html#a31e3360c6e2b067c5ae066ff652ec955">bitplains</a> == <span class="vhdllogic">4&#39;d0</span>)? <a class="code" href="classbitplain.html#a553900bd2f2f3405d344e297181fc057">bitplains</a>  : {<a class="code" href="classbitplain.html#a553900bd2f2f3405d344e297181fc057">bitplains</a>[<span class="vhdllogic">3</span>:<span class="vhdllogic">2</span>], <span class="vhdllogic">2&#39;b0</span>}),
<a name="l01388"></a>01388     
<a name="l01389"></a>01389     .<a class="code" href="classbitplain.html#ac7de652ce719ac94872e6d69cafab953">bitplains</a>(<a class="code" href="classbitplain.html#ac7de652ce719ac94872e6d69cafab953">bitplains</a>),
<a name="l01390"></a>01390     .<a class="code" href="classbitplain.html#ace852539c0279b4a1b8f783557d55cdc">bitplains</a>(<a class="code" href="classbitplains.html#a4a29a792d92eccec4a11bd1e7829248a">bpl_con1</a>[<span class="vhdllogic">3</span>:<span class="vhdllogic">0</span>]),
<a name="l01391"></a>01391     .<a class="code" href="classbitplain.html#aed34a8dde38409fd98a3322664465e4d">bitplains</a>(<a class="code" href="classbitplain.html#aed34a8dde38409fd98a3322664465e4d">bitplains</a>[<span class="vhdllogic">31</span>:<span class="vhdllogic">16</span>]),
<a name="l01392"></a>01392     .<a class="code" href="classbitplain.html#a181860daf35a843b47d36459fc97becc">bitplains</a>(<a class="code" href="classbitplain.html#a181860daf35a843b47d36459fc97becc">bitplains</a>),
<a name="l01393"></a>01393     .<a class="code" href="classbitplain.html#a587bc5f3ac5475fe98e78b714f059179">bitplains</a>(<a class="code" href="classbitplain.html#a587bc5f3ac5475fe98e78b714f059179">bitplains</a>),
<a name="l01394"></a>01394     
<a name="l01395"></a>01395     .<a class="code" href="classbitplain.html#a013edeeb1b2ef744b4b92db82c7146a5">bitplains</a>(<a class="code" href="classbitplain.html#a013edeeb1b2ef744b4b92db82c7146a5">bitplains</a>[<span class="vhdllogic">0</span>]),
<a name="l01396"></a>01396     
<a name="l01397"></a>01397     .<a class="code" href="classbitplain.html#ad44ab47590158c2f74ae6107809f2a2d">bitplains</a>(<a class="code" href="classbitplain.html#ad44ab47590158c2f74ae6107809f2a2d">bitplains</a>)
<a name="l01398"></a>01398 );
<a name="l01399"></a><a class="code" href="classbitplains.html#ab302bd0179b3486f4f9bffdcda02e10e">01399</a> <span class="vhdlkeyword">wire</span> [<span class="vhdllogic">31</span>:<span class="vhdllogic">2</span>] <a class="code" href="classbitplains.html#ab302bd0179b3486f4f9bffdcda02e10e">dma_address_2</a>;
<a name="l01400"></a><a class="code" href="classbitplains.html#a7ff6c776e3513a1800e32e59b86885a3">01400</a> <a class="code" href="classbitplains.html#a9e962f99f32f38525ae61c343d82acdb">bitplain</a> <span class="vhdlchar">bitplain_2</span>(
<a name="l01401"></a>01401     .<a class="code" href="classbitplain.html#a7ccd0e57f63a130055f344366497ea76">bitplains</a>(<a class="code" href="classbitplain.html#a7ccd0e57f63a130055f344366497ea76">bitplains</a>),
<a name="l01402"></a>01402     .<a class="code" href="classbitplain.html#ac6055c1a293de7eff0d53a88e83d235b">bitplains</a>(<a class="code" href="classbitplain.html#ac6055c1a293de7eff0d53a88e83d235b">bitplains</a>),
<a name="l01403"></a>01403     
<a name="l01404"></a>01404     .<a class="code" href="classbitplain.html#a5ab185aa9b35e07760a5431145c0331f">bitplains</a>(<a class="code" href="classbitplain.html#a5ab185aa9b35e07760a5431145c0331f">bitplains</a>),
<a name="l01405"></a>01405     .<a class="code" href="classbitplain.html#a01e54581b418c2067c87f3d488a2f659">bitplains</a>(<a class="code" href="classbitplain.html#a01e54581b418c2067c87f3d488a2f659">bitplains</a>),
<a name="l01406"></a>01406     
<a name="l01407"></a>01407     .<a class="code" href="classbitplain.html#ae6b6b111bda0fd62fd6f04890ae87c67">bitplains</a>(<a class="code" href="classbitplain.html#ae6b6b111bda0fd62fd6f04890ae87c67">bitplains</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classbitplain.html#ac7de652ce719ac94872e6d69cafab953">bitplains</a>[<span class="vhdllogic">9</span>:<span class="vhdllogic">7</span>] &gt;= <span class="vhdllogic">3&#39;d2</span>), 
<a name="l01408"></a>01408     .<a class="code" href="classbitplain.html#aa6737bfc1d2ba5a82ebc8fd9c9e406e9">bitplains</a>(<a class="code" href="classbitplains.html#ad1a8573f29281fff25e8f8e9ce28b2be">dma_reqs</a>[<span class="vhdllogic">1</span>]),
<a name="l01409"></a>01409     .<a class="code" href="classbitplain.html#a4283fb470690f90bd06e9242985e237a">bitplains</a>(<a class="code" href="classbitplains.html#ab302bd0179b3486f4f9bffdcda02e10e">dma_address_2</a>),
<a name="l01410"></a>01410     .<a class="code" href="classbitplain.html#ac0d6c4bbc006c19c8df8411606f1a041">bitplains</a>(<a class="code" href="classbitplain.html#ac0d6c4bbc006c19c8df8411606f1a041">bitplains</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classbitplains.html#a1d58456dacfa0a28823a2c83ea4d1e41">selected_bpl</a> == <span class="vhdllogic">3&#39;d2</span>),
<a name="l01411"></a>01411     .<a class="code" href="classbitplain.html#a269596467b7dc4c1676982afd60ed4f4">bitplains</a>(<a class="code" href="classbitplain.html#a269596467b7dc4c1676982afd60ed4f4">bitplains</a>),
<a name="l01412"></a>01412     
<a name="l01413"></a>01413     .<a class="code" href="classbitplain.html#aa96750f5688607171be8cb35646cc01b">bitplains</a>(<a class="code" href="classbitplain.html#aa96750f5688607171be8cb35646cc01b">bitplains</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; (<a class="code" href="classbitplain.html#a31e3360c6e2b067c5ae066ff652ec955">bitplains</a> == <span class="vhdllogic">4&#39;d1</span> || <a class="code" href="classbitplain.html#a31e3360c6e2b067c5ae066ff652ec955">bitplains</a> == <span class="vhdllogic">4&#39;d10</span>)),
<a name="l01414"></a>01414     <span class="keyword">// 0:   BPLxPTH,    BPLxPTL,</span>
<a name="l01415"></a>01415     <span class="keyword">// 1:   BPLxDAT,    16&#39;d0</span>
<a name="l01416"></a>01416     .<a class="code" href="classbitplain.html#a31e3360c6e2b067c5ae066ff652ec955">bitplains</a>( (<a class="code" href="classbitplain.html#a31e3360c6e2b067c5ae066ff652ec955">bitplains</a> == <span class="vhdllogic">4&#39;d1</span>)? <span class="vhdllogic">1&#39;b0</span>       : <span class="vhdllogic">1&#39;b1</span>),
<a name="l01417"></a>01417     .<a class="code" href="classbitplain.html#ae9873d9d8080cb845dfdffa992e72335">bitplains</a>(    (<a class="code" href="classbitplain.html#a31e3360c6e2b067c5ae066ff652ec955">bitplains</a> == <span class="vhdllogic">4&#39;d1</span>)? <a class="code" href="classbitplain.html#ae9873d9d8080cb845dfdffa992e72335">bitplains</a> : {<a class="code" href="classbitplain.html#ae9873d9d8080cb845dfdffa992e72335">bitplains</a>[<span class="vhdllogic">15</span>:<span class="vhdllogic">0</span>], <span class="vhdllogic">16&#39;d0</span>}),
<a name="l01418"></a>01418     .<a class="code" href="classbitplain.html#a553900bd2f2f3405d344e297181fc057">bitplains</a>(     (<a class="code" href="classbitplain.html#a31e3360c6e2b067c5ae066ff652ec955">bitplains</a> == <span class="vhdllogic">4&#39;d1</span>)? <a class="code" href="classbitplain.html#a553900bd2f2f3405d344e297181fc057">bitplains</a>  : {<a class="code" href="classbitplain.html#a553900bd2f2f3405d344e297181fc057">bitplains</a>[<span class="vhdllogic">1</span>:<span class="vhdllogic">0</span>], <span class="vhdllogic">2&#39;b0</span>}),
<a name="l01419"></a>01419     
<a name="l01420"></a>01420     .<a class="code" href="classbitplain.html#ac7de652ce719ac94872e6d69cafab953">bitplains</a>(<a class="code" href="classbitplain.html#ac7de652ce719ac94872e6d69cafab953">bitplains</a>),
<a name="l01421"></a>01421     .<a class="code" href="classbitplain.html#ace852539c0279b4a1b8f783557d55cdc">bitplains</a>(<a class="code" href="classbitplains.html#a4a29a792d92eccec4a11bd1e7829248a">bpl_con1</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">4</span>]),
<a name="l01422"></a>01422     .<a class="code" href="classbitplain.html#aed34a8dde38409fd98a3322664465e4d">bitplains</a>(<a class="code" href="classbitplain.html#aed34a8dde38409fd98a3322664465e4d">bitplains</a>[<span class="vhdllogic">15</span>:<span class="vhdllogic">0</span>]),
<a name="l01423"></a>01423     .<a class="code" href="classbitplain.html#a181860daf35a843b47d36459fc97becc">bitplains</a>(<a class="code" href="classbitplain.html#a181860daf35a843b47d36459fc97becc">bitplains</a>),
<a name="l01424"></a>01424     .<a class="code" href="classbitplain.html#a587bc5f3ac5475fe98e78b714f059179">bitplains</a>(<a class="code" href="classbitplain.html#a587bc5f3ac5475fe98e78b714f059179">bitplains</a>),
<a name="l01425"></a>01425     
<a name="l01426"></a>01426     .<a class="code" href="classbitplain.html#a013edeeb1b2ef744b4b92db82c7146a5">bitplains</a>(<a class="code" href="classbitplain.html#a013edeeb1b2ef744b4b92db82c7146a5">bitplains</a>[<span class="vhdllogic">1</span>]),
<a name="l01427"></a>01427     
<a name="l01428"></a>01428     .<a class="code" href="classbitplain.html#ad44ab47590158c2f74ae6107809f2a2d">bitplains</a>(<a class="code" href="classbitplain.html#ad44ab47590158c2f74ae6107809f2a2d">bitplains</a>)
<a name="l01429"></a>01429 );
<a name="l01430"></a><a class="code" href="classbitplains.html#a77090f580fde7aa66dca9211543f6794">01430</a> <span class="vhdlkeyword">wire</span> [<span class="vhdllogic">31</span>:<span class="vhdllogic">2</span>] <a class="code" href="classbitplains.html#a77090f580fde7aa66dca9211543f6794">dma_address_3</a>;
<a name="l01431"></a><a class="code" href="classbitplains.html#aa85b1e442534d11768372f3afc898cc6">01431</a> <a class="code" href="classbitplains.html#a9e962f99f32f38525ae61c343d82acdb">bitplain</a> <span class="vhdlchar">bitplain_3</span>(
<a name="l01432"></a>01432     .<a class="code" href="classbitplain.html#a7ccd0e57f63a130055f344366497ea76">bitplains</a>(<a class="code" href="classbitplain.html#a7ccd0e57f63a130055f344366497ea76">bitplains</a>),
<a name="l01433"></a>01433     .<a class="code" href="classbitplain.html#ac6055c1a293de7eff0d53a88e83d235b">bitplains</a>(<a class="code" href="classbitplain.html#ac6055c1a293de7eff0d53a88e83d235b">bitplains</a>),
<a name="l01434"></a>01434     
<a name="l01435"></a>01435     .<a class="code" href="classbitplain.html#a5ab185aa9b35e07760a5431145c0331f">bitplains</a>(<a class="code" href="classbitplain.html#a5ab185aa9b35e07760a5431145c0331f">bitplains</a>),
<a name="l01436"></a>01436     .<a class="code" href="classbitplain.html#a01e54581b418c2067c87f3d488a2f659">bitplains</a>(<a class="code" href="classbitplain.html#a01e54581b418c2067c87f3d488a2f659">bitplains</a>),
<a name="l01437"></a>01437     
<a name="l01438"></a>01438     .<a class="code" href="classbitplain.html#ae6b6b111bda0fd62fd6f04890ae87c67">bitplains</a>(<a class="code" href="classbitplain.html#ae6b6b111bda0fd62fd6f04890ae87c67">bitplains</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classbitplain.html#ac7de652ce719ac94872e6d69cafab953">bitplains</a>[<span class="vhdllogic">9</span>:<span class="vhdllogic">7</span>] &gt;= <span class="vhdllogic">3&#39;d3</span>), 
<a name="l01439"></a>01439     .<a class="code" href="classbitplain.html#aa6737bfc1d2ba5a82ebc8fd9c9e406e9">bitplains</a>(<a class="code" href="classbitplains.html#ad1a8573f29281fff25e8f8e9ce28b2be">dma_reqs</a>[<span class="vhdllogic">2</span>]),
<a name="l01440"></a>01440     .<a class="code" href="classbitplain.html#a4283fb470690f90bd06e9242985e237a">bitplains</a>(<a class="code" href="classbitplains.html#a77090f580fde7aa66dca9211543f6794">dma_address_3</a>),
<a name="l01441"></a>01441     .<a class="code" href="classbitplain.html#ac0d6c4bbc006c19c8df8411606f1a041">bitplains</a>(<a class="code" href="classbitplain.html#ac0d6c4bbc006c19c8df8411606f1a041">bitplains</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classbitplains.html#a1d58456dacfa0a28823a2c83ea4d1e41">selected_bpl</a> == <span class="vhdllogic">3&#39;d3</span>),
<a name="l01442"></a>01442     .<a class="code" href="classbitplain.html#a269596467b7dc4c1676982afd60ed4f4">bitplains</a>(<a class="code" href="classbitplain.html#a269596467b7dc4c1676982afd60ed4f4">bitplains</a>),
<a name="l01443"></a>01443     
<a name="l01444"></a>01444     .<a class="code" href="classbitplain.html#aa96750f5688607171be8cb35646cc01b">bitplains</a>(<a class="code" href="classbitplain.html#aa96750f5688607171be8cb35646cc01b">bitplains</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; (<a class="code" href="classbitplain.html#a31e3360c6e2b067c5ae066ff652ec955">bitplains</a> == <span class="vhdllogic">4&#39;d2</span> || <a class="code" href="classbitplain.html#a31e3360c6e2b067c5ae066ff652ec955">bitplains</a> == <span class="vhdllogic">4&#39;d11</span>)),
<a name="l01445"></a>01445     <span class="keyword">// 0:   BPLxPTH,    BPLxPTL,</span>
<a name="l01446"></a>01446     <span class="keyword">// 1:   BPLxDAT,    16&#39;d0</span>
<a name="l01447"></a>01447     .<a class="code" href="classbitplain.html#a31e3360c6e2b067c5ae066ff652ec955">bitplains</a>( (<a class="code" href="classbitplain.html#a31e3360c6e2b067c5ae066ff652ec955">bitplains</a> == <span class="vhdllogic">4&#39;d2</span>)? <span class="vhdllogic">1&#39;b0</span>       : <span class="vhdllogic">1&#39;b1</span>),
<a name="l01448"></a>01448     .<a class="code" href="classbitplain.html#ae9873d9d8080cb845dfdffa992e72335">bitplains</a>(    (<a class="code" href="classbitplain.html#a31e3360c6e2b067c5ae066ff652ec955">bitplains</a> == <span class="vhdllogic">4&#39;d2</span>)? <a class="code" href="classbitplain.html#ae9873d9d8080cb845dfdffa992e72335">bitplains</a> : {<a class="code" href="classbitplain.html#ae9873d9d8080cb845dfdffa992e72335">bitplains</a>[<span class="vhdllogic">31</span>:<span class="vhdllogic">16</span>], <span class="vhdllogic">16&#39;d0</span>}),
<a name="l01449"></a>01449     .<a class="code" href="classbitplain.html#a553900bd2f2f3405d344e297181fc057">bitplains</a>(     (<a class="code" href="classbitplain.html#a31e3360c6e2b067c5ae066ff652ec955">bitplains</a> == <span class="vhdllogic">4&#39;d2</span>)? <a class="code" href="classbitplain.html#a553900bd2f2f3405d344e297181fc057">bitplains</a>  : {<a class="code" href="classbitplain.html#a553900bd2f2f3405d344e297181fc057">bitplains</a>[<span class="vhdllogic">3</span>:<span class="vhdllogic">2</span>], <span class="vhdllogic">2&#39;b0</span>}),
<a name="l01450"></a>01450     
<a name="l01451"></a>01451     .<a class="code" href="classbitplain.html#ac7de652ce719ac94872e6d69cafab953">bitplains</a>(<a class="code" href="classbitplain.html#ac7de652ce719ac94872e6d69cafab953">bitplains</a>),
<a name="l01452"></a>01452     .<a class="code" href="classbitplain.html#ace852539c0279b4a1b8f783557d55cdc">bitplains</a>(<a class="code" href="classbitplains.html#a4a29a792d92eccec4a11bd1e7829248a">bpl_con1</a>[<span class="vhdllogic">3</span>:<span class="vhdllogic">0</span>]),
<a name="l01453"></a>01453     .<a class="code" href="classbitplain.html#aed34a8dde38409fd98a3322664465e4d">bitplains</a>(<a class="code" href="classbitplain.html#aed34a8dde38409fd98a3322664465e4d">bitplains</a>[<span class="vhdllogic">31</span>:<span class="vhdllogic">16</span>]),
<a name="l01454"></a>01454     .<a class="code" href="classbitplain.html#a181860daf35a843b47d36459fc97becc">bitplains</a>(<a class="code" href="classbitplain.html#a181860daf35a843b47d36459fc97becc">bitplains</a>),
<a name="l01455"></a>01455     .<a class="code" href="classbitplain.html#a587bc5f3ac5475fe98e78b714f059179">bitplains</a>(<a class="code" href="classbitplain.html#a587bc5f3ac5475fe98e78b714f059179">bitplains</a>),
<a name="l01456"></a>01456     
<a name="l01457"></a>01457     .<a class="code" href="classbitplain.html#a013edeeb1b2ef744b4b92db82c7146a5">bitplains</a>(<a class="code" href="classbitplain.html#a013edeeb1b2ef744b4b92db82c7146a5">bitplains</a>[<span class="vhdllogic">2</span>]),
<a name="l01458"></a>01458     
<a name="l01459"></a>01459     .<a class="code" href="classbitplain.html#ad44ab47590158c2f74ae6107809f2a2d">bitplains</a>(<a class="code" href="classbitplain.html#ad44ab47590158c2f74ae6107809f2a2d">bitplains</a>)
<a name="l01460"></a>01460 );
<a name="l01461"></a><a class="code" href="classbitplains.html#afb87164244f5362fcffea0ac0d394b68">01461</a> <span class="vhdlkeyword">wire</span> [<span class="vhdllogic">31</span>:<span class="vhdllogic">2</span>] <a class="code" href="classbitplains.html#afb87164244f5362fcffea0ac0d394b68">dma_address_4</a>;
<a name="l01462"></a><a class="code" href="classbitplains.html#ae43782a5715c707946d0be53ae2ab4ea">01462</a> <a class="code" href="classbitplains.html#a9e962f99f32f38525ae61c343d82acdb">bitplain</a> <span class="vhdlchar">bitplain_4</span>(
<a name="l01463"></a>01463     .<a class="code" href="classbitplain.html#a7ccd0e57f63a130055f344366497ea76">bitplains</a>(<a class="code" href="classbitplain.html#a7ccd0e57f63a130055f344366497ea76">bitplains</a>),
<a name="l01464"></a>01464     .<a class="code" href="classbitplain.html#ac6055c1a293de7eff0d53a88e83d235b">bitplains</a>(<a class="code" href="classbitplain.html#ac6055c1a293de7eff0d53a88e83d235b">bitplains</a>),
<a name="l01465"></a>01465     
<a name="l01466"></a>01466     .<a class="code" href="classbitplain.html#a5ab185aa9b35e07760a5431145c0331f">bitplains</a>(<a class="code" href="classbitplain.html#a5ab185aa9b35e07760a5431145c0331f">bitplains</a>),
<a name="l01467"></a>01467     .<a class="code" href="classbitplain.html#a01e54581b418c2067c87f3d488a2f659">bitplains</a>(<a class="code" href="classbitplain.html#a01e54581b418c2067c87f3d488a2f659">bitplains</a>),
<a name="l01468"></a>01468     
<a name="l01469"></a>01469     .<a class="code" href="classbitplain.html#ae6b6b111bda0fd62fd6f04890ae87c67">bitplains</a>(<a class="code" href="classbitplain.html#ae6b6b111bda0fd62fd6f04890ae87c67">bitplains</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classbitplain.html#ac7de652ce719ac94872e6d69cafab953">bitplains</a>[<span class="vhdllogic">9</span>:<span class="vhdllogic">7</span>] &gt;= <span class="vhdllogic">3&#39;d4</span>), 
<a name="l01470"></a>01470     .<a class="code" href="classbitplain.html#aa6737bfc1d2ba5a82ebc8fd9c9e406e9">bitplains</a>(<a class="code" href="classbitplains.html#ad1a8573f29281fff25e8f8e9ce28b2be">dma_reqs</a>[<span class="vhdllogic">3</span>]),
<a name="l01471"></a>01471     .<a class="code" href="classbitplain.html#a4283fb470690f90bd06e9242985e237a">bitplains</a>(<a class="code" href="classbitplains.html#afb87164244f5362fcffea0ac0d394b68">dma_address_4</a>),
<a name="l01472"></a>01472     .<a class="code" href="classbitplain.html#ac0d6c4bbc006c19c8df8411606f1a041">bitplains</a>(<a class="code" href="classbitplain.html#ac0d6c4bbc006c19c8df8411606f1a041">bitplains</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classbitplains.html#a1d58456dacfa0a28823a2c83ea4d1e41">selected_bpl</a> == <span class="vhdllogic">3&#39;d4</span>),
<a name="l01473"></a>01473     .<a class="code" href="classbitplain.html#a269596467b7dc4c1676982afd60ed4f4">bitplains</a>(<a class="code" href="classbitplain.html#a269596467b7dc4c1676982afd60ed4f4">bitplains</a>),
<a name="l01474"></a>01474     
<a name="l01475"></a>01475     .<a class="code" href="classbitplain.html#aa96750f5688607171be8cb35646cc01b">bitplains</a>(<a class="code" href="classbitplain.html#aa96750f5688607171be8cb35646cc01b">bitplains</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; (<a class="code" href="classbitplain.html#a31e3360c6e2b067c5ae066ff652ec955">bitplains</a> == <span class="vhdllogic">4&#39;d3</span> || <a class="code" href="classbitplain.html#a31e3360c6e2b067c5ae066ff652ec955">bitplains</a> == <span class="vhdllogic">4&#39;d11</span>)),
<a name="l01476"></a>01476     <span class="keyword">// 0:   BPLxPTH,    BPLxPTL,</span>
<a name="l01477"></a>01477     <span class="keyword">// 1:   BPLxDAT,    16&#39;d0</span>
<a name="l01478"></a>01478     .<a class="code" href="classbitplain.html#a31e3360c6e2b067c5ae066ff652ec955">bitplains</a>( (<a class="code" href="classbitplain.html#a31e3360c6e2b067c5ae066ff652ec955">bitplains</a> == <span class="vhdllogic">4&#39;d3</span>)? <span class="vhdllogic">1&#39;b0</span>       : <span class="vhdllogic">1&#39;b1</span>),
<a name="l01479"></a>01479     .<a class="code" href="classbitplain.html#ae9873d9d8080cb845dfdffa992e72335">bitplains</a>(    (<a class="code" href="classbitplain.html#a31e3360c6e2b067c5ae066ff652ec955">bitplains</a> == <span class="vhdllogic">4&#39;d3</span>)? <a class="code" href="classbitplain.html#ae9873d9d8080cb845dfdffa992e72335">bitplains</a> : {<a class="code" href="classbitplain.html#ae9873d9d8080cb845dfdffa992e72335">bitplains</a>[<span class="vhdllogic">15</span>:<span class="vhdllogic">0</span>], <span class="vhdllogic">16&#39;d0</span>}),
<a name="l01480"></a>01480     .<a class="code" href="classbitplain.html#a553900bd2f2f3405d344e297181fc057">bitplains</a>(     (<a class="code" href="classbitplain.html#a31e3360c6e2b067c5ae066ff652ec955">bitplains</a> == <span class="vhdllogic">4&#39;d3</span>)? <a class="code" href="classbitplain.html#a553900bd2f2f3405d344e297181fc057">bitplains</a>  : {<a class="code" href="classbitplain.html#a553900bd2f2f3405d344e297181fc057">bitplains</a>[<span class="vhdllogic">1</span>:<span class="vhdllogic">0</span>], <span class="vhdllogic">2&#39;b0</span>}),
<a name="l01481"></a>01481     
<a name="l01482"></a>01482     .<a class="code" href="classbitplain.html#ac7de652ce719ac94872e6d69cafab953">bitplains</a>(<a class="code" href="classbitplain.html#ac7de652ce719ac94872e6d69cafab953">bitplains</a>),
<a name="l01483"></a>01483     .<a class="code" href="classbitplain.html#ace852539c0279b4a1b8f783557d55cdc">bitplains</a>(<a class="code" href="classbitplains.html#a4a29a792d92eccec4a11bd1e7829248a">bpl_con1</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">4</span>]),
<a name="l01484"></a>01484     .<a class="code" href="classbitplain.html#aed34a8dde38409fd98a3322664465e4d">bitplains</a>(<a class="code" href="classbitplain.html#aed34a8dde38409fd98a3322664465e4d">bitplains</a>[<span class="vhdllogic">15</span>:<span class="vhdllogic">0</span>]),
<a name="l01485"></a>01485     .<a class="code" href="classbitplain.html#a181860daf35a843b47d36459fc97becc">bitplains</a>(<a class="code" href="classbitplain.html#a181860daf35a843b47d36459fc97becc">bitplains</a>),
<a name="l01486"></a>01486     .<a class="code" href="classbitplain.html#a587bc5f3ac5475fe98e78b714f059179">bitplains</a>(<a class="code" href="classbitplain.html#a587bc5f3ac5475fe98e78b714f059179">bitplains</a>),
<a name="l01487"></a>01487     
<a name="l01488"></a>01488     .<a class="code" href="classbitplain.html#a013edeeb1b2ef744b4b92db82c7146a5">bitplains</a>(<a class="code" href="classbitplain.html#a013edeeb1b2ef744b4b92db82c7146a5">bitplains</a>[<span class="vhdllogic">3</span>]),
<a name="l01489"></a>01489     
<a name="l01490"></a>01490     .<a class="code" href="classbitplain.html#ad44ab47590158c2f74ae6107809f2a2d">bitplains</a>(<a class="code" href="classbitplain.html#ad44ab47590158c2f74ae6107809f2a2d">bitplains</a>)
<a name="l01491"></a>01491 );
<a name="l01492"></a><a class="code" href="classbitplains.html#aad8695735de24a497a3db7f6b13802df">01492</a> <span class="vhdlkeyword">wire</span> [<span class="vhdllogic">31</span>:<span class="vhdllogic">2</span>] <a class="code" href="classbitplains.html#aad8695735de24a497a3db7f6b13802df">dma_address_5</a>;
<a name="l01493"></a><a class="code" href="classbitplains.html#af7e0a95a5a680bafdd6a47eabd37236d">01493</a> <a class="code" href="classbitplains.html#a9e962f99f32f38525ae61c343d82acdb">bitplain</a> <span class="vhdlchar">bitplain_5</span>(
<a name="l01494"></a>01494     .<a class="code" href="classbitplain.html#a7ccd0e57f63a130055f344366497ea76">bitplains</a>(<a class="code" href="classbitplain.html#a7ccd0e57f63a130055f344366497ea76">bitplains</a>),
<a name="l01495"></a>01495     .<a class="code" href="classbitplain.html#ac6055c1a293de7eff0d53a88e83d235b">bitplains</a>(<a class="code" href="classbitplain.html#ac6055c1a293de7eff0d53a88e83d235b">bitplains</a>),
<a name="l01496"></a>01496     
<a name="l01497"></a>01497     .<a class="code" href="classbitplain.html#a5ab185aa9b35e07760a5431145c0331f">bitplains</a>(<a class="code" href="classbitplain.html#a5ab185aa9b35e07760a5431145c0331f">bitplains</a>),
<a name="l01498"></a>01498     .<a class="code" href="classbitplain.html#a01e54581b418c2067c87f3d488a2f659">bitplains</a>(<a class="code" href="classbitplain.html#a01e54581b418c2067c87f3d488a2f659">bitplains</a>),
<a name="l01499"></a>01499     
<a name="l01500"></a>01500     .<a class="code" href="classbitplain.html#ae6b6b111bda0fd62fd6f04890ae87c67">bitplains</a>(<a class="code" href="classbitplain.html#ae6b6b111bda0fd62fd6f04890ae87c67">bitplains</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classbitplain.html#ac7de652ce719ac94872e6d69cafab953">bitplains</a>[<span class="vhdllogic">9</span>:<span class="vhdllogic">7</span>] &gt;= <span class="vhdllogic">3&#39;d5</span>), 
<a name="l01501"></a>01501     .<a class="code" href="classbitplain.html#aa6737bfc1d2ba5a82ebc8fd9c9e406e9">bitplains</a>(<a class="code" href="classbitplains.html#ad1a8573f29281fff25e8f8e9ce28b2be">dma_reqs</a>[<span class="vhdllogic">4</span>]),
<a name="l01502"></a>01502     .<a class="code" href="classbitplain.html#a4283fb470690f90bd06e9242985e237a">bitplains</a>(<a class="code" href="classbitplains.html#aad8695735de24a497a3db7f6b13802df">dma_address_5</a>),
<a name="l01503"></a>01503     .<a class="code" href="classbitplain.html#ac0d6c4bbc006c19c8df8411606f1a041">bitplains</a>(<a class="code" href="classbitplain.html#ac0d6c4bbc006c19c8df8411606f1a041">bitplains</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classbitplains.html#a1d58456dacfa0a28823a2c83ea4d1e41">selected_bpl</a> == <span class="vhdllogic">3&#39;d5</span>),
<a name="l01504"></a>01504     .<a class="code" href="classbitplain.html#a269596467b7dc4c1676982afd60ed4f4">bitplains</a>(<a class="code" href="classbitplain.html#a269596467b7dc4c1676982afd60ed4f4">bitplains</a>),
<a name="l01505"></a>01505     
<a name="l01506"></a>01506     .<a class="code" href="classbitplain.html#aa96750f5688607171be8cb35646cc01b">bitplains</a>(<a class="code" href="classbitplain.html#aa96750f5688607171be8cb35646cc01b">bitplains</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; (<a class="code" href="classbitplain.html#a31e3360c6e2b067c5ae066ff652ec955">bitplains</a> == <span class="vhdllogic">4&#39;d4</span> || <a class="code" href="classbitplain.html#a31e3360c6e2b067c5ae066ff652ec955">bitplains</a> == <span class="vhdllogic">4&#39;d12</span>)),
<a name="l01507"></a>01507     <span class="keyword">// 0:   BPLxPTH,    BPLxPTL,</span>
<a name="l01508"></a>01508     <span class="keyword">// 1:   BPLxDAT,    16&#39;d0</span>
<a name="l01509"></a>01509     .<a class="code" href="classbitplain.html#a31e3360c6e2b067c5ae066ff652ec955">bitplains</a>( (<a class="code" href="classbitplain.html#a31e3360c6e2b067c5ae066ff652ec955">bitplains</a> == <span class="vhdllogic">4&#39;d4</span>)? <span class="vhdllogic">1&#39;b0</span>       : <span class="vhdllogic">1&#39;b1</span>),
<a name="l01510"></a>01510     .<a class="code" href="classbitplain.html#ae9873d9d8080cb845dfdffa992e72335">bitplains</a>(    (<a class="code" href="classbitplain.html#a31e3360c6e2b067c5ae066ff652ec955">bitplains</a> == <span class="vhdllogic">4&#39;d4</span>)? <a class="code" href="classbitplain.html#ae9873d9d8080cb845dfdffa992e72335">bitplains</a> : {<a class="code" href="classbitplain.html#ae9873d9d8080cb845dfdffa992e72335">bitplains</a>[<span class="vhdllogic">31</span>:<span class="vhdllogic">16</span>], <span class="vhdllogic">16&#39;d0</span>}),
<a name="l01511"></a>01511     .<a class="code" href="classbitplain.html#a553900bd2f2f3405d344e297181fc057">bitplains</a>(     (<a class="code" href="classbitplain.html#a31e3360c6e2b067c5ae066ff652ec955">bitplains</a> == <span class="vhdllogic">4&#39;d4</span>)? <a class="code" href="classbitplain.html#a553900bd2f2f3405d344e297181fc057">bitplains</a>  : {<a class="code" href="classbitplain.html#a553900bd2f2f3405d344e297181fc057">bitplains</a>[<span class="vhdllogic">3</span>:<span class="vhdllogic">2</span>], <span class="vhdllogic">2&#39;b0</span>}),
<a name="l01512"></a>01512     
<a name="l01513"></a>01513     .<a class="code" href="classbitplain.html#ac7de652ce719ac94872e6d69cafab953">bitplains</a>(<a class="code" href="classbitplain.html#ac7de652ce719ac94872e6d69cafab953">bitplains</a>),
<a name="l01514"></a>01514     .<a class="code" href="classbitplain.html#ace852539c0279b4a1b8f783557d55cdc">bitplains</a>(<a class="code" href="classbitplains.html#a4a29a792d92eccec4a11bd1e7829248a">bpl_con1</a>[<span class="vhdllogic">3</span>:<span class="vhdllogic">0</span>]),
<a name="l01515"></a>01515     .<a class="code" href="classbitplain.html#aed34a8dde38409fd98a3322664465e4d">bitplains</a>(<a class="code" href="classbitplain.html#aed34a8dde38409fd98a3322664465e4d">bitplains</a>[<span class="vhdllogic">31</span>:<span class="vhdllogic">16</span>]),
<a name="l01516"></a>01516     .<a class="code" href="classbitplain.html#a181860daf35a843b47d36459fc97becc">bitplains</a>(<a class="code" href="classbitplain.html#a181860daf35a843b47d36459fc97becc">bitplains</a>),
<a name="l01517"></a>01517     .<a class="code" href="classbitplain.html#a587bc5f3ac5475fe98e78b714f059179">bitplains</a>(<a class="code" href="classbitplain.html#a587bc5f3ac5475fe98e78b714f059179">bitplains</a>),
<a name="l01518"></a>01518     
<a name="l01519"></a>01519     .<a class="code" href="classbitplain.html#a013edeeb1b2ef744b4b92db82c7146a5">bitplains</a>(<a class="code" href="classbitplain.html#a013edeeb1b2ef744b4b92db82c7146a5">bitplains</a>[<span class="vhdllogic">4</span>]),
<a name="l01520"></a>01520     
<a name="l01521"></a>01521     .<a class="code" href="classbitplain.html#ad44ab47590158c2f74ae6107809f2a2d">bitplains</a>(<a class="code" href="classbitplain.html#ad44ab47590158c2f74ae6107809f2a2d">bitplains</a>)
<a name="l01522"></a>01522 );
<a name="l01523"></a><a class="code" href="classbitplains.html#aec79c90363f823f78ee4334ec691936d">01523</a> <span class="vhdlkeyword">wire</span> [<span class="vhdllogic">31</span>:<span class="vhdllogic">2</span>] <a class="code" href="classbitplains.html#aec79c90363f823f78ee4334ec691936d">dma_address_6</a>;
<a name="l01524"></a><a class="code" href="classbitplains.html#a9e962f99f32f38525ae61c343d82acdb">01524</a> <a class="code" href="classbitplains.html#a9e962f99f32f38525ae61c343d82acdb">bitplain</a> <span class="vhdlchar">bitplain_6</span>(
<a name="l01525"></a>01525     .<a class="code" href="classbitplain.html#a7ccd0e57f63a130055f344366497ea76">bitplains</a>(<a class="code" href="classbitplain.html#a7ccd0e57f63a130055f344366497ea76">bitplains</a>),
<a name="l01526"></a>01526     .<a class="code" href="classbitplain.html#ac6055c1a293de7eff0d53a88e83d235b">bitplains</a>(<a class="code" href="classbitplain.html#ac6055c1a293de7eff0d53a88e83d235b">bitplains</a>),
<a name="l01527"></a>01527     
<a name="l01528"></a>01528     .<a class="code" href="classbitplain.html#a5ab185aa9b35e07760a5431145c0331f">bitplains</a>(<a class="code" href="classbitplain.html#a5ab185aa9b35e07760a5431145c0331f">bitplains</a>),
<a name="l01529"></a>01529     .<a class="code" href="classbitplain.html#a01e54581b418c2067c87f3d488a2f659">bitplains</a>(<a class="code" href="classbitplain.html#a01e54581b418c2067c87f3d488a2f659">bitplains</a>),
<a name="l01530"></a>01530     
<a name="l01531"></a>01531     .<a class="code" href="classbitplain.html#ae6b6b111bda0fd62fd6f04890ae87c67">bitplains</a>(<a class="code" href="classbitplain.html#ae6b6b111bda0fd62fd6f04890ae87c67">bitplains</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classbitplain.html#ac7de652ce719ac94872e6d69cafab953">bitplains</a>[<span class="vhdllogic">9</span>:<span class="vhdllogic">7</span>] &gt;= <span class="vhdllogic">3&#39;d6</span>), 
<a name="l01532"></a>01532     .<a class="code" href="classbitplain.html#aa6737bfc1d2ba5a82ebc8fd9c9e406e9">bitplains</a>(<a class="code" href="classbitplains.html#ad1a8573f29281fff25e8f8e9ce28b2be">dma_reqs</a>[<span class="vhdllogic">5</span>]),
<a name="l01533"></a>01533     .<a class="code" href="classbitplain.html#a4283fb470690f90bd06e9242985e237a">bitplains</a>(<a class="code" href="classbitplains.html#aec79c90363f823f78ee4334ec691936d">dma_address_6</a>),
<a name="l01534"></a>01534     .<a class="code" href="classbitplain.html#ac0d6c4bbc006c19c8df8411606f1a041">bitplains</a>(<a class="code" href="classbitplain.html#ac0d6c4bbc006c19c8df8411606f1a041">bitplains</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classbitplains.html#a1d58456dacfa0a28823a2c83ea4d1e41">selected_bpl</a> == <span class="vhdllogic">3&#39;d6</span>),
<a name="l01535"></a>01535     .<a class="code" href="classbitplain.html#a269596467b7dc4c1676982afd60ed4f4">bitplains</a>(<a class="code" href="classbitplain.html#a269596467b7dc4c1676982afd60ed4f4">bitplains</a>),
<a name="l01536"></a>01536     
<a name="l01537"></a>01537     .<a class="code" href="classbitplain.html#aa96750f5688607171be8cb35646cc01b">bitplains</a>(<a class="code" href="classbitplain.html#aa96750f5688607171be8cb35646cc01b">bitplains</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; (<a class="code" href="classbitplain.html#a31e3360c6e2b067c5ae066ff652ec955">bitplains</a> == <span class="vhdllogic">4&#39;d5</span> || <a class="code" href="classbitplain.html#a31e3360c6e2b067c5ae066ff652ec955">bitplains</a> == <span class="vhdllogic">4&#39;d12</span>)),
<a name="l01538"></a>01538     <span class="keyword">// 0:   BPLxPTH,    BPLxPTL,</span>
<a name="l01539"></a>01539     <span class="keyword">// 1:   BPLxDAT,    16&#39;d0</span>
<a name="l01540"></a>01540     .<a class="code" href="classbitplain.html#a31e3360c6e2b067c5ae066ff652ec955">bitplains</a>( (<a class="code" href="classbitplain.html#a31e3360c6e2b067c5ae066ff652ec955">bitplains</a> == <span class="vhdllogic">4&#39;d5</span>)? <span class="vhdllogic">1&#39;b0</span>       : <span class="vhdllogic">1&#39;b1</span>),
<a name="l01541"></a>01541     .<a class="code" href="classbitplain.html#ae9873d9d8080cb845dfdffa992e72335">bitplains</a>(    (<a class="code" href="classbitplain.html#a31e3360c6e2b067c5ae066ff652ec955">bitplains</a> == <span class="vhdllogic">4&#39;d5</span>)? <a class="code" href="classbitplain.html#ae9873d9d8080cb845dfdffa992e72335">bitplains</a> : {<a class="code" href="classbitplain.html#ae9873d9d8080cb845dfdffa992e72335">bitplains</a>[<span class="vhdllogic">15</span>:<span class="vhdllogic">0</span>], <span class="vhdllogic">16&#39;d0</span>}),
<a name="l01542"></a>01542     .<a class="code" href="classbitplain.html#a553900bd2f2f3405d344e297181fc057">bitplains</a>(     (<a class="code" href="classbitplain.html#a31e3360c6e2b067c5ae066ff652ec955">bitplains</a> == <span class="vhdllogic">4&#39;d5</span>)? <a class="code" href="classbitplain.html#a553900bd2f2f3405d344e297181fc057">bitplains</a>  : {<a class="code" href="classbitplain.html#a553900bd2f2f3405d344e297181fc057">bitplains</a>[<span class="vhdllogic">1</span>:<span class="vhdllogic">0</span>], <span class="vhdllogic">2&#39;b0</span>}),
<a name="l01543"></a>01543     
<a name="l01544"></a>01544     .<a class="code" href="classbitplain.html#ac7de652ce719ac94872e6d69cafab953">bitplains</a>(<a class="code" href="classbitplain.html#ac7de652ce719ac94872e6d69cafab953">bitplains</a>),
<a name="l01545"></a>01545     .<a class="code" href="classbitplain.html#ace852539c0279b4a1b8f783557d55cdc">bitplains</a>(<a class="code" href="classbitplains.html#a4a29a792d92eccec4a11bd1e7829248a">bpl_con1</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">4</span>]),
<a name="l01546"></a>01546     .<a class="code" href="classbitplain.html#aed34a8dde38409fd98a3322664465e4d">bitplains</a>(<a class="code" href="classbitplain.html#aed34a8dde38409fd98a3322664465e4d">bitplains</a>[<span class="vhdllogic">15</span>:<span class="vhdllogic">0</span>]),
<a name="l01547"></a>01547     .<a class="code" href="classbitplain.html#a181860daf35a843b47d36459fc97becc">bitplains</a>(<a class="code" href="classbitplain.html#a181860daf35a843b47d36459fc97becc">bitplains</a>),
<a name="l01548"></a>01548     .<a class="code" href="classbitplain.html#a587bc5f3ac5475fe98e78b714f059179">bitplains</a>(<a class="code" href="classbitplain.html#a587bc5f3ac5475fe98e78b714f059179">bitplains</a>),
<a name="l01549"></a>01549     
<a name="l01550"></a>01550     .<a class="code" href="classbitplain.html#a013edeeb1b2ef744b4b92db82c7146a5">bitplains</a>(<a class="code" href="classbitplain.html#a013edeeb1b2ef744b4b92db82c7146a5">bitplains</a>[<span class="vhdllogic">5</span>]),
<a name="l01551"></a>01551     
<a name="l01552"></a>01552     .<a class="code" href="classbitplain.html#ad44ab47590158c2f74ae6107809f2a2d">bitplains</a>(<a class="code" href="classbitplain.html#ad44ab47590158c2f74ae6107809f2a2d">bitplains</a>)
<a name="l01553"></a>01553 );
<a name="l01554"></a>01554 
<a name="l01555"></a><a class="code" href="classbitplains.html#ac35c9721326bdc692ab678a425e320b1">01555</a> <span class="vhdlkeyword">always</span> @(<span class="vhdlkeyword">posedge</span> <a class="code" href="classbitplains.html#a278d0a2942b3abca58cae15fcc28a7b2">CLK_I</a> <span class="vhdlkeyword">or</span> <span class="vhdlkeyword">negedge</span> <a class="code" href="classbitplains.html#a46f7b8b0e114227368a79452fb8323d5">reset_n</a>) <span class="vhdlkeyword">begin</span>
<a name="l01556"></a>01556     <span class="vhdlkeyword">if</span>(<a class="code" href="classbitplains.html#a46f7b8b0e114227368a79452fb8323d5">reset_n</a> == <span class="vhdllogic">1&#39;b0</span>) <span class="vhdlkeyword">begin</span>
<a name="l01557"></a>01557         <a class="code" href="classbitplains.html#af80537ffa07a14f250b6220289c9bfee">bpl_con0</a> &lt;= <span class="vhdllogic">11&#39;d0</span>;
<a name="l01558"></a>01558         <a class="code" href="classbitplains.html#a2c538bc96e2ab2a893b1b3b6fe787450">ddf_start</a> &lt;= <span class="vhdllogic">6&#39;d0</span>;
<a name="l01559"></a>01559         <a class="code" href="classbitplains.html#a4cb099da69fde2deacf00856f134b6c2">ddf_stop</a> &lt;= <span class="vhdllogic">6&#39;d0</span>;
<a name="l01560"></a>01560         <a class="code" href="classbitplains.html#ad6c0674fc7f161c36b622b9821bf7eef">bpl_modulo</a> &lt;= <span class="vhdllogic">32&#39;d0</span>;
<a name="l01561"></a>01561         <a class="code" href="classbitplains.html#a4a29a792d92eccec4a11bd1e7829248a">bpl_con1</a> &lt;= <span class="vhdllogic">8&#39;d0</span>;
<a name="l01562"></a>01562         
<a name="l01563"></a>01563         <a class="code" href="classbitplains.html#a9748b53f529239d24373c4d5e998fdea">dma_reqs_reg</a> &lt;= <span class="vhdllogic">6&#39;d0</span>;
<a name="l01564"></a>01564     <span class="vhdlkeyword">end</span>
<a name="l01565"></a>01565     <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">begin</span>
<a name="l01566"></a>01566         <a class="code" href="classbitplains.html#a9748b53f529239d24373c4d5e998fdea">dma_reqs_reg</a> &lt;= <a class="code" href="classbitplains.html#ad1a8573f29281fff25e8f8e9ce28b2be">dma_reqs</a>;
<a name="l01567"></a>01567         
<a name="l01568"></a>01568         <span class="keyword">// 6:   DDFSTRT [15:0],     DIWSTOP [31:16], *</span>
<a name="l01569"></a>01569         <span class="keyword">// 7:   DDFSTOP [31:16],    DMACON  [15:0], *</span>
<a name="l01570"></a>01570         <span class="keyword">// 8:   BPLCON0,            BPLCON1,</span>
<a name="l01571"></a>01571         <span class="keyword">// 9:   BPL1MOD,            BPL2MOD,</span>
<a name="l01572"></a>01572         <span class="vhdlkeyword">if</span>(<a class="code" href="classbitplains.html#a26d2e3fe4c3184018034e3accf865a9f">write_ena</a> == <span class="vhdllogic">1&#39;b1</span>) <span class="vhdlkeyword">begin</span>
<a name="l01573"></a>01573             <span class="vhdlkeyword">if</span>(<a class="code" href="classbitplains.html#a82da180e2f90d8aea5d9b9b597d0aa50">write_address</a> == <span class="vhdllogic">4&#39;d6</span> &amp;&amp; <a class="code" href="classbitplains.html#ae9bf37892b79f8664e222f13d1ed2b32">write_sel</a>[<span class="vhdllogic">0</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classbitplains.html#a2c538bc96e2ab2a893b1b3b6fe787450">ddf_start</a> &lt;= <a class="code" href="classbitplains.html#a835e5c88d48823747837e1ad8ebbe364">write_data</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">2</span>];
<a name="l01574"></a>01574             <span class="vhdlkeyword">if</span>(<a class="code" href="classbitplains.html#a82da180e2f90d8aea5d9b9b597d0aa50">write_address</a> == <span class="vhdllogic">4&#39;d6</span> &amp;&amp; <a class="code" href="classbitplains.html#ae9bf37892b79f8664e222f13d1ed2b32">write_sel</a>[<span class="vhdllogic">1</span>] == <span class="vhdllogic">1&#39;b1</span>) ;
<a name="l01575"></a>01575             <span class="vhdlkeyword">if</span>(<a class="code" href="classbitplains.html#a82da180e2f90d8aea5d9b9b597d0aa50">write_address</a> == <span class="vhdllogic">4&#39;d6</span> &amp;&amp; <a class="code" href="classbitplains.html#ae9bf37892b79f8664e222f13d1ed2b32">write_sel</a>[<span class="vhdllogic">2</span>] == <span class="vhdllogic">1&#39;b1</span>) ;
<a name="l01576"></a>01576             <span class="vhdlkeyword">if</span>(<a class="code" href="classbitplains.html#a82da180e2f90d8aea5d9b9b597d0aa50">write_address</a> == <span class="vhdllogic">4&#39;d6</span> &amp;&amp; <a class="code" href="classbitplains.html#ae9bf37892b79f8664e222f13d1ed2b32">write_sel</a>[<span class="vhdllogic">3</span>] == <span class="vhdllogic">1&#39;b1</span>) ;
<a name="l01577"></a>01577             <span class="vhdlkeyword">if</span>(<a class="code" href="classbitplains.html#a82da180e2f90d8aea5d9b9b597d0aa50">write_address</a> == <span class="vhdllogic">4&#39;d7</span> &amp;&amp; <a class="code" href="classbitplains.html#ae9bf37892b79f8664e222f13d1ed2b32">write_sel</a>[<span class="vhdllogic">0</span>] == <span class="vhdllogic">1&#39;b1</span>) ;
<a name="l01578"></a>01578             <span class="vhdlkeyword">if</span>(<a class="code" href="classbitplains.html#a82da180e2f90d8aea5d9b9b597d0aa50">write_address</a> == <span class="vhdllogic">4&#39;d7</span> &amp;&amp; <a class="code" href="classbitplains.html#ae9bf37892b79f8664e222f13d1ed2b32">write_sel</a>[<span class="vhdllogic">1</span>] == <span class="vhdllogic">1&#39;b1</span>) ;
<a name="l01579"></a>01579             <span class="vhdlkeyword">if</span>(<a class="code" href="classbitplains.html#a82da180e2f90d8aea5d9b9b597d0aa50">write_address</a> == <span class="vhdllogic">4&#39;d7</span> &amp;&amp; <a class="code" href="classbitplains.html#ae9bf37892b79f8664e222f13d1ed2b32">write_sel</a>[<span class="vhdllogic">2</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classbitplains.html#a4cb099da69fde2deacf00856f134b6c2">ddf_stop</a> &lt;= <a class="code" href="classbitplains.html#a835e5c88d48823747837e1ad8ebbe364">write_data</a>[<span class="vhdllogic">23</span>:<span class="vhdllogic">18</span>];
<a name="l01580"></a>01580             <span class="vhdlkeyword">if</span>(<a class="code" href="classbitplains.html#a82da180e2f90d8aea5d9b9b597d0aa50">write_address</a> == <span class="vhdllogic">4&#39;d7</span> &amp;&amp; <a class="code" href="classbitplains.html#ae9bf37892b79f8664e222f13d1ed2b32">write_sel</a>[<span class="vhdllogic">3</span>] == <span class="vhdllogic">1&#39;b1</span>) ;
<a name="l01581"></a>01581             <span class="vhdlkeyword">if</span>(<a class="code" href="classbitplains.html#a82da180e2f90d8aea5d9b9b597d0aa50">write_address</a> == <span class="vhdllogic">4&#39;d8</span> &amp;&amp; <a class="code" href="classbitplains.html#ae9bf37892b79f8664e222f13d1ed2b32">write_sel</a>[<span class="vhdllogic">0</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classbitplains.html#a4a29a792d92eccec4a11bd1e7829248a">bpl_con1</a> &lt;= <a class="code" href="classbitplains.html#a835e5c88d48823747837e1ad8ebbe364">write_data</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>];
<a name="l01582"></a>01582             <span class="vhdlkeyword">if</span>(<a class="code" href="classbitplains.html#a82da180e2f90d8aea5d9b9b597d0aa50">write_address</a> == <span class="vhdllogic">4&#39;d8</span> &amp;&amp; <a class="code" href="classbitplains.html#ae9bf37892b79f8664e222f13d1ed2b32">write_sel</a>[<span class="vhdllogic">1</span>] == <span class="vhdllogic">1&#39;b1</span>) ;
<a name="l01583"></a>01583             <span class="vhdlkeyword">if</span>(<a class="code" href="classbitplains.html#a82da180e2f90d8aea5d9b9b597d0aa50">write_address</a> == <span class="vhdllogic">4&#39;d8</span> &amp;&amp; <a class="code" href="classbitplains.html#ae9bf37892b79f8664e222f13d1ed2b32">write_sel</a>[<span class="vhdllogic">2</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classbitplains.html#af80537ffa07a14f250b6220289c9bfee">bpl_con0</a>[<span class="vhdllogic">2</span>:<span class="vhdllogic">0</span>] &lt;= <a class="code" href="classbitplains.html#a835e5c88d48823747837e1ad8ebbe364">write_data</a>[<span class="vhdllogic">19</span>:<span class="vhdllogic">17</span>];
<a name="l01584"></a>01584             <span class="vhdlkeyword">if</span>(<a class="code" href="classbitplains.html#a82da180e2f90d8aea5d9b9b597d0aa50">write_address</a> == <span class="vhdllogic">4&#39;d8</span> &amp;&amp; <a class="code" href="classbitplains.html#ae9bf37892b79f8664e222f13d1ed2b32">write_sel</a>[<span class="vhdllogic">3</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classbitplains.html#af80537ffa07a14f250b6220289c9bfee">bpl_con0</a>[<span class="vhdllogic">10</span>:<span class="vhdllogic">3</span>] &lt;= <a class="code" href="classbitplains.html#a835e5c88d48823747837e1ad8ebbe364">write_data</a>[<span class="vhdllogic">31</span>:<span class="vhdllogic">24</span>];
<a name="l01585"></a>01585             <span class="vhdlkeyword">if</span>(<a class="code" href="classbitplains.html#a82da180e2f90d8aea5d9b9b597d0aa50">write_address</a> == <span class="vhdllogic">4&#39;d9</span> &amp;&amp; <a class="code" href="classbitplains.html#ae9bf37892b79f8664e222f13d1ed2b32">write_sel</a>[<span class="vhdllogic">0</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classbitplains.html#ad6c0674fc7f161c36b622b9821bf7eef">bpl_modulo</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>] &lt;= <a class="code" href="classbitplains.html#a835e5c88d48823747837e1ad8ebbe364">write_data</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>];
<a name="l01586"></a>01586             <span class="vhdlkeyword">if</span>(<a class="code" href="classbitplains.html#a82da180e2f90d8aea5d9b9b597d0aa50">write_address</a> == <span class="vhdllogic">4&#39;d9</span> &amp;&amp; <a class="code" href="classbitplains.html#ae9bf37892b79f8664e222f13d1ed2b32">write_sel</a>[<span class="vhdllogic">1</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classbitplains.html#ad6c0674fc7f161c36b622b9821bf7eef">bpl_modulo</a>[<span class="vhdllogic">15</span>:<span class="vhdllogic">8</span>] &lt;= <a class="code" href="classbitplains.html#a835e5c88d48823747837e1ad8ebbe364">write_data</a>[<span class="vhdllogic">15</span>:<span class="vhdllogic">8</span>];
<a name="l01587"></a>01587             <span class="vhdlkeyword">if</span>(<a class="code" href="classbitplains.html#a82da180e2f90d8aea5d9b9b597d0aa50">write_address</a> == <span class="vhdllogic">4&#39;d9</span> &amp;&amp; <a class="code" href="classbitplains.html#ae9bf37892b79f8664e222f13d1ed2b32">write_sel</a>[<span class="vhdllogic">2</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classbitplains.html#ad6c0674fc7f161c36b622b9821bf7eef">bpl_modulo</a>[<span class="vhdllogic">23</span>:<span class="vhdllogic">16</span>] &lt;= <a class="code" href="classbitplains.html#a835e5c88d48823747837e1ad8ebbe364">write_data</a>[<span class="vhdllogic">23</span>:<span class="vhdllogic">16</span>];
<a name="l01588"></a>01588             <span class="vhdlkeyword">if</span>(<a class="code" href="classbitplains.html#a82da180e2f90d8aea5d9b9b597d0aa50">write_address</a> == <span class="vhdllogic">4&#39;d9</span> &amp;&amp; <a class="code" href="classbitplains.html#ae9bf37892b79f8664e222f13d1ed2b32">write_sel</a>[<span class="vhdllogic">3</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classbitplains.html#ad6c0674fc7f161c36b622b9821bf7eef">bpl_modulo</a>[<span class="vhdllogic">31</span>:<span class="vhdllogic">24</span>] &lt;= <a class="code" href="classbitplains.html#a835e5c88d48823747837e1ad8ebbe364">write_data</a>[<span class="vhdllogic">31</span>:<span class="vhdllogic">24</span>];
<a name="l01589"></a>01589         <span class="vhdlkeyword">end</span>
<a name="l01590"></a>01590     <span class="vhdlkeyword">end</span>
<a name="l01591"></a>01591 <span class="vhdlkeyword">end</span>
<a name="l01592"></a>01592 
<a name="l01593"></a>01593 <span class="vhdlkeyword">endmodule</span>
<a name="l01594"></a>01594 
<a name="l01595"></a>01595 <span class="keyword">/*! \brief Single bitplain module.</span>
<a name="l01596"></a>01596 <span class="keyword"> */</span>
<a name="l01597"></a><a class="code" href="classbitplain.html">01597</a> <span class="vhdlkeyword">module</span> <a class="code" href="classbitplains.html#a9e962f99f32f38525ae61c343d82acdb">bitplain</a>(
<a name="l01598"></a><a class="code" href="classbitplain.html#a7ccd0e57f63a130055f344366497ea76">01598</a>     <span class="vhdlkeyword">input</span> <a class="code" href="classbitplain.html#a7ccd0e57f63a130055f344366497ea76">CLK_I</a>,
<a name="l01599"></a><a class="code" href="classbitplain.html#ac6055c1a293de7eff0d53a88e83d235b">01599</a>     <span class="vhdlkeyword">input</span> <a class="code" href="classbitplain.html#ac6055c1a293de7eff0d53a88e83d235b">reset_n</a>,
<a name="l01600"></a>01600     
<a name="l01601"></a><a class="code" href="classbitplain.html#a5ab185aa9b35e07760a5431145c0331f">01601</a>     <span class="vhdlkeyword">input</span> <a class="code" href="classbitplain.html#a5ab185aa9b35e07760a5431145c0331f">line_start</a>,
<a name="l01602"></a><a class="code" href="classbitplain.html#a01e54581b418c2067c87f3d488a2f659">01602</a>     <span class="vhdlkeyword">input</span> [<span class="vhdllogic">8</span>:<span class="vhdllogic">0</span>] <a class="code" href="classbitplain.html#a01e54581b418c2067c87f3d488a2f659">column_number</a>,
<a name="l01603"></a>01603     
<a name="l01604"></a>01604     <span class="keyword">// video interface - read</span>
<a name="l01605"></a><a class="code" href="classbitplain.html#ae6b6b111bda0fd62fd6f04890ae87c67">01605</a>     <span class="vhdlkeyword">input</span> <a class="code" href="classbitplain.html#ae6b6b111bda0fd62fd6f04890ae87c67">burst_read_enabled</a>,
<a name="l01606"></a><a class="code" href="classbitplain.html#aa6737bfc1d2ba5a82ebc8fd9c9e406e9">01606</a>     <span class="vhdlkeyword">output</span> <span class="vhdlkeyword">reg</span> <a class="code" href="classbitplain.html#aa6737bfc1d2ba5a82ebc8fd9c9e406e9">burst_read_request</a>,
<a name="l01607"></a><a class="code" href="classbitplain.html#a4283fb470690f90bd06e9242985e237a">01607</a>     <span class="vhdlkeyword">output</span> [<span class="vhdllogic">31</span>:<span class="vhdllogic">2</span>] <a class="code" href="classbitplain.html#a4283fb470690f90bd06e9242985e237a">burst_read_address</a>,
<a name="l01608"></a><a class="code" href="classbitplain.html#ac0d6c4bbc006c19c8df8411606f1a041">01608</a>     <span class="vhdlkeyword">input</span> <a class="code" href="classbitplain.html#ac0d6c4bbc006c19c8df8411606f1a041">burst_read_ready</a>,
<a name="l01609"></a><a class="code" href="classbitplain.html#a269596467b7dc4c1676982afd60ed4f4">01609</a>     <span class="vhdlkeyword">input</span> [<span class="vhdllogic">31</span>:<span class="vhdllogic">0</span>] <a class="code" href="classbitplain.html#a269596467b7dc4c1676982afd60ed4f4">burst_read_data</a>,
<a name="l01610"></a>01610     
<a name="l01611"></a><a class="code" href="classbitplain.html#aa96750f5688607171be8cb35646cc01b">01611</a>     <span class="vhdlkeyword">input</span> <a class="code" href="classbitplain.html#aa96750f5688607171be8cb35646cc01b">write_ena</a>,
<a name="l01612"></a>01612     <span class="keyword">// 0:   BPLxPTH,    BPLxPTL,</span>
<a name="l01613"></a>01613     <span class="keyword">// 1:   BPLxDAT,    16&#39;d0</span>
<a name="l01614"></a><a class="code" href="classbitplain.html#a31e3360c6e2b067c5ae066ff652ec955">01614</a>     <span class="vhdlkeyword">input</span> <a class="code" href="classbitplain.html#a31e3360c6e2b067c5ae066ff652ec955">write_address</a>,
<a name="l01615"></a><a class="code" href="classbitplain.html#ae9873d9d8080cb845dfdffa992e72335">01615</a>     <span class="vhdlkeyword">input</span> [<span class="vhdllogic">31</span>:<span class="vhdllogic">0</span>] <a class="code" href="classbitplain.html#ae9873d9d8080cb845dfdffa992e72335">write_data</a>,
<a name="l01616"></a><a class="code" href="classbitplain.html#a553900bd2f2f3405d344e297181fc057">01616</a>     <span class="vhdlkeyword">input</span> [<span class="vhdllogic">3</span>:<span class="vhdllogic">0</span>] <a class="code" href="classbitplain.html#a553900bd2f2f3405d344e297181fc057">write_sel</a>,
<a name="l01617"></a>01617     
<a name="l01618"></a><a class="code" href="classbitplain.html#ac7de652ce719ac94872e6d69cafab953">01618</a>     <span class="vhdlkeyword">input</span> [<span class="vhdllogic">10</span>:<span class="vhdllogic">0</span>] <a class="code" href="classbitplain.html#ac7de652ce719ac94872e6d69cafab953">bpl_con0</a>,
<a name="l01619"></a><a class="code" href="classbitplain.html#ace852539c0279b4a1b8f783557d55cdc">01619</a>     <span class="vhdlkeyword">input</span> [<span class="vhdllogic">3</span>:<span class="vhdllogic">0</span>] <a class="code" href="classbitplain.html#ace852539c0279b4a1b8f783557d55cdc">bpl_delay</a>,
<a name="l01620"></a><a class="code" href="classbitplain.html#aed34a8dde38409fd98a3322664465e4d">01620</a>     <span class="vhdlkeyword">input</span> [<span class="vhdllogic">15</span>:<span class="vhdllogic">0</span>] <a class="code" href="classbitplain.html#aed34a8dde38409fd98a3322664465e4d">bpl_modulo</a>,
<a name="l01621"></a><a class="code" href="classbitplain.html#a181860daf35a843b47d36459fc97becc">01621</a>     <span class="vhdlkeyword">input</span> [<span class="vhdllogic">8</span>:<span class="vhdllogic">3</span>] <a class="code" href="classbitplain.html#a181860daf35a843b47d36459fc97becc">ddf_start</a>,
<a name="l01622"></a><a class="code" href="classbitplain.html#a587bc5f3ac5475fe98e78b714f059179">01622</a>     <span class="vhdlkeyword">input</span> [<span class="vhdllogic">8</span>:<span class="vhdllogic">3</span>] <a class="code" href="classbitplain.html#a587bc5f3ac5475fe98e78b714f059179">ddf_stop</a>,
<a name="l01623"></a>01623     
<a name="l01624"></a><a class="code" href="classbitplain.html#a013edeeb1b2ef744b4b92db82c7146a5">01624</a>     <span class="vhdlkeyword">output</span> <a class="code" href="classbitplain.html#a013edeeb1b2ef744b4b92db82c7146a5">color</a>,
<a name="l01625"></a>01625     
<a name="l01626"></a><a class="code" href="classbitplain.html#ad44ab47590158c2f74ae6107809f2a2d">01626</a>     <span class="vhdlkeyword">input</span> [<span class="vhdllogic">8</span>:<span class="vhdllogic">0</span>] <a class="code" href="classbitplain.html#ad44ab47590158c2f74ae6107809f2a2d">line_number</a>
<a name="l01627"></a>01627 );
<a name="l01628"></a>01628 
<a name="l01629"></a>01629 <span class="vhdlkeyword">assign</span> <a class="code" href="classbitplain.html#a4283fb470690f90bd06e9242985e237a">burst_read_address</a> = <a class="code" href="classbitplain.html#a685e63db49715826ec347b13aba4b543">dma_address_full</a>[<span class="vhdllogic">31</span>:<span class="vhdllogic">2</span>];
<a name="l01630"></a><a class="code" href="classbitplain.html#a685e63db49715826ec347b13aba4b543">01630</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">31</span>:<span class="vhdllogic">0</span>] <a class="code" href="classbitplain.html#a685e63db49715826ec347b13aba4b543">dma_address_full</a>;
<a name="l01631"></a><a class="code" href="classbitplain.html#a893c51280c6c7bb7f7bee91c5e927b8c">01631</a> <span class="vhdlkeyword">reg</span> <a class="code" href="classbitplain.html#a893c51280c6c7bb7f7bee91c5e927b8c">new_address</a>;
<a name="l01632"></a><a class="code" href="classbitplain.html#a2611b58530eb58e58e2e14746e5d3568">01632</a> <span class="vhdlkeyword">reg</span> <a class="code" href="classbitplain.html#a2611b58530eb58e58e2e14746e5d3568">dma_started</a>;
<a name="l01633"></a>01633 
<a name="l01634"></a><a class="code" href="classbitplain.html#a061f93e91fbc32ede43448879db28e34">01634</a> <span class="vhdlkeyword">reg</span> <a class="code" href="classbitplain.html#a061f93e91fbc32ede43448879db28e34">shift_delay</a>;
<a name="l01635"></a><a class="code" href="classbitplain.html#a042583361e86ce1f0226319619f5abe4">01635</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">5</span>:<span class="vhdllogic">0</span>] <a class="code" href="classbitplain.html#a042583361e86ce1f0226319619f5abe4">shift_counter</a>;
<a name="l01636"></a><a class="code" href="classbitplain.html#a0047f3cd922c6cfa6e94fdf80dde1950">01636</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">31</span>:<span class="vhdllogic">0</span>] <a class="code" href="classbitplain.html#a0047f3cd922c6cfa6e94fdf80dde1950">shift</a>;
<a name="l01637"></a>01637 <span class="vhdlkeyword">assign</span> <a class="code" href="classbitplain.html#a013edeeb1b2ef744b4b92db82c7146a5">color</a> = <a class="code" href="classbitplain.html#a0047f3cd922c6cfa6e94fdf80dde1950">shift</a>[<span class="vhdllogic">31</span>];
<a name="l01638"></a>01638 
<a name="l01639"></a><a class="code" href="classbitplain.html#aeacccdf3aa68f53eb573c04c517cd02f">01639</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">15</span>:<span class="vhdllogic">0</span>] <a class="code" href="classbitplain.html#aeacccdf3aa68f53eb573c04c517cd02f">even_data</a>;
<a name="l01640"></a>01640 
<a name="l01641"></a><a class="code" href="classbitplain.html#afc43d6b043af355c60160dd1ead8ba05">01641</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">4</span>:<span class="vhdllogic">0</span>] <a class="code" href="classbitplain.html#afc43d6b043af355c60160dd1ead8ba05">bitplain_ram_addr</a>;
<a name="l01642"></a><a class="code" href="classbitplain.html#a0efcf2403d11d43c528fde9a70fc954a">01642</a> <span class="vhdlkeyword">wire</span> [<span class="vhdllogic">31</span>:<span class="vhdllogic">0</span>] <a class="code" href="classbitplain.html#a0efcf2403d11d43c528fde9a70fc954a">bitplain_ram_q</a>;
<a name="l01643"></a><a class="code" href="classbitplain.html#abec668043413e898e860e0732b9e19ea">01643</a> <a class="code" href="classbitplain.html#abec668043413e898e860e0732b9e19ea">altsyncram</a> <span class="vhdlchar">bitplain_ram_inst</span>(
<a name="l01644"></a>01644     .<span class="vhdlchar">clock0</span>(<a class="code" href="classbitplain.html#a7ccd0e57f63a130055f344366497ea76">CLK_I</a>),
<a name="l01645"></a>01645 
<a name="l01646"></a>01646     .<span class="vhdlchar">address_a</span>(<a class="code" href="classbitplain.html#afc43d6b043af355c60160dd1ead8ba05">bitplain_ram_addr</a>),
<a name="l01647"></a>01647     .<span class="vhdlchar">wren_a</span>(<a class="code" href="classbitplain.html#ac0d6c4bbc006c19c8df8411606f1a041">burst_read_ready</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classbitplain.html#aa6737bfc1d2ba5a82ebc8fd9c9e406e9">burst_read_request</a> == <span class="vhdllogic">1&#39;b1</span>),
<a name="l01648"></a>01648     .<span class="vhdlchar">data_a</span>((<a class="code" href="classbitplain.html#a685e63db49715826ec347b13aba4b543">dma_address_full</a>[<span class="vhdllogic">1</span>] == <span class="vhdllogic">1&#39;b0</span>) ? <a class="code" href="classbitplain.html#a269596467b7dc4c1676982afd60ed4f4">burst_read_data</a> : {<a class="code" href="classbitplain.html#aeacccdf3aa68f53eb573c04c517cd02f">even_data</a>, <a class="code" href="classbitplain.html#a269596467b7dc4c1676982afd60ed4f4">burst_read_data</a>[<span class="vhdllogic">31</span>:<span class="vhdllogic">16</span>]}),
<a name="l01649"></a>01649     .<span class="vhdlchar">q_a</span>(<a class="code" href="classbitplain.html#a0efcf2403d11d43c528fde9a70fc954a">bitplain_ram_q</a>)
<a name="l01650"></a>01650 );
<a name="l01651"></a>01651 <span class="vhdlkeyword">defparam</span> 
<a name="l01652"></a>01652     <span class="vhdlchar">bitplain_ram_inst</span>.<span class="vhdlchar">operation_mode</span> = <span class="keyword">&quot;SINGLE_PORT&quot;</span>,
<a name="l01653"></a>01653     <span class="vhdlchar">bitplain_ram_inst</span>.<span class="vhdlchar">width_a</span> = <span class="vhdllogic">32</span>,
<a name="l01654"></a>01654     <span class="vhdlchar">bitplain_ram_inst</span>.<span class="vhdlchar">widthad_a</span> = <span class="vhdllogic">5</span>;
<a name="l01655"></a>01655 
<a name="l01656"></a><a class="code" href="classbitplain.html#ad0f84226d6957ab16eab80045c005135">01656</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">1</span>:<span class="vhdllogic">0</span>] <a class="code" href="classbitplain.html#ad0f84226d6957ab16eab80045c005135">dma_state</a>;
<a name="l01657"></a><a class="code" href="classbitplain.html#adfcdbd8aa4d91d5e75d95c6a679c5cf4">01657</a> <span class="vhdlkeyword">parameter</span> [<span class="vhdllogic">1</span>:<span class="vhdllogic">0</span>]
<a name="l01658"></a>01658     <a class="code" href="classbitplain.html#ad56cf00f6e4125b146f19768585ffe6a">DMA_DISABLED</a>    = <span class="vhdllogic">2&#39;d0</span>,
<a name="l01659"></a>01659     <a class="code" href="classbitplain.html#a73be103083210fb3a129f889a83a1b08">DMA_ACTIVE</a>      = <span class="vhdllogic">2&#39;d1</span>,
<a name="l01660"></a>01660     <a class="code" href="classbitplain.html#adfcdbd8aa4d91d5e75d95c6a679c5cf4">DMA_INACTIVE</a>    = <span class="vhdllogic">2&#39;d2</span>;
<a name="l01661"></a>01661 
<a name="l01662"></a>01662 <span class="keyword">// in multiples of 8, usually 38</span>
<a name="l01663"></a><a class="code" href="classbitplain.html#a17d268ac9ca69189aac8e3581a329a47">01663</a> <span class="vhdlkeyword">wire</span> [<span class="vhdllogic">8</span>:<span class="vhdllogic">3</span>] <a class="code" href="classbitplain.html#a17d268ac9ca69189aac8e3581a329a47">ddf_diff</a>;
<a name="l01664"></a>01664 <span class="vhdlkeyword">assign</span> <a class="code" href="classbitplain.html#a17d268ac9ca69189aac8e3581a329a47">ddf_diff</a> = <a class="code" href="classbitplain.html#a587bc5f3ac5475fe98e78b714f059179">ddf_stop</a>[<span class="vhdllogic">8</span>:<span class="vhdllogic">3</span>] - <a class="code" href="classbitplain.html#a181860daf35a843b47d36459fc97becc">ddf_start</a>[<span class="vhdllogic">8</span>:<span class="vhdllogic">3</span>];
<a name="l01665"></a>01665 
<a name="l01666"></a><a class="code" href="classbitplain.html#a763430ffcf00e97021237a0bb9de95ba">01666</a> <span class="vhdlkeyword">wire</span> [<span class="vhdllogic">8</span>:<span class="vhdllogic">3</span>] <a class="code" href="classbitplain.html#a763430ffcf00e97021237a0bb9de95ba">ddf_stop_final</a>;
<a name="l01667"></a>01667 <span class="vhdlkeyword">assign</span> <a class="code" href="classbitplain.html#a763430ffcf00e97021237a0bb9de95ba">ddf_stop_final</a> = (<a class="code" href="classbitplain.html#a17d268ac9ca69189aac8e3581a329a47">ddf_diff</a>[<span class="vhdllogic">3</span>] == <span class="vhdllogic">1&#39;b1</span>)? <a class="code" href="classbitplain.html#a587bc5f3ac5475fe98e78b714f059179">ddf_stop</a> + <span class="vhdllogic">6&#39;d1</span> : <a class="code" href="classbitplain.html#a587bc5f3ac5475fe98e78b714f059179">ddf_stop</a>;
<a name="l01668"></a>01668 
<a name="l01669"></a><a class="code" href="classbitplain.html#a82b75de9078bc16e85cc3588b423f3cc">01669</a> <span class="vhdlkeyword">wire</span> [<span class="vhdllogic">8</span>:<span class="vhdllogic">3</span>] <a class="code" href="classbitplain.html#a82b75de9078bc16e85cc3588b423f3cc">ddf_diff_final</a>;
<a name="l01670"></a>01670 <span class="vhdlkeyword">assign</span> <a class="code" href="classbitplain.html#a82b75de9078bc16e85cc3588b423f3cc">ddf_diff_final</a> = <a class="code" href="classbitplain.html#a763430ffcf00e97021237a0bb9de95ba">ddf_stop_final</a>[<span class="vhdllogic">8</span>:<span class="vhdllogic">3</span>] - <a class="code" href="classbitplain.html#a181860daf35a843b47d36459fc97becc">ddf_start</a>[<span class="vhdllogic">8</span>:<span class="vhdllogic">3</span>];
<a name="l01671"></a>01671 
<a name="l01672"></a>01672 <span class="keyword">// difference,  diff,   ram_addr</span>
<a name="l01673"></a>01673 <span class="keyword">// lowres</span>
<a name="l01674"></a>01674 <span class="keyword">// 0-7,         0,      0+1 &gt;= 0 --&gt; 1</span>
<a name="l01675"></a>01675 <span class="keyword">// 8-15,        2,      1+1 &gt;= 0,2 --&gt; 2</span>
<a name="l01676"></a>01676 <span class="keyword">// 16-23,       4,      2+1 &gt;= 0,2 --&gt; 2</span>
<a name="l01677"></a>01677 <span class="keyword">// 24-31,       6,      3+1 &gt;= 0,2,4 --&gt; 3</span>
<a name="l01678"></a>01678 <span class="keyword">// .....</span>
<a name="l01679"></a>01679 <span class="keyword">// 144-151,     36,     18+1 &gt;= 0,2,4,6,8,10,12,14,16,18 --&gt; 10</span>
<a name="l01680"></a>01680 <span class="keyword">// 152-159,     38,     19+1 &gt;= 0,2,4,6,8,10,12,14,16,18,20 --&gt; 11</span>
<a name="l01681"></a>01681 <span class="keyword">// hires</span>
<a name="l01682"></a>01682 <span class="keyword">// 0-3,         0,      0+2 &gt;= 0,2 --&gt; 2</span>
<a name="l01683"></a>01683 <span class="keyword">// 4-7,         1,      1+2 &gt;= 0,2 --&gt; 2</span>
<a name="l01684"></a>01684 <span class="keyword">// 8-11,        2,      2+2 &gt;= 0,2,4 --&gt; 3</span>
<a name="l01685"></a>01685 <span class="keyword">// 12-15,       3,      3+2 &gt;= 0,2,4 --&gt; 3</span>
<a name="l01686"></a>01686 <span class="keyword">// .....</span>
<a name="l01687"></a>01687 <span class="keyword">// 148-151,     37,     37+2 &gt;= 0,2,4,6,8,10,12,14,16,18,20,22,24,26,28,30,32,34,36,38 --&gt; 20</span>
<a name="l01688"></a>01688 <span class="keyword">// 152-155,     38,     38+2 &gt;= 0,2,4,6,8,10,12,14,16,18,20,22,24,26,28,30,32,34,36,38,40 --&gt; 21</span>
<a name="l01689"></a><a class="code" href="classbitplain.html#ac2867555b4e6a8f80c0469c4904b5e2b">01689</a> <span class="vhdlkeyword">wire</span> <a class="code" href="classbitplain.html#ac2867555b4e6a8f80c0469c4904b5e2b">ddf_continue</a>;
<a name="l01690"></a>01690 <span class="vhdlkeyword">assign</span> <a class="code" href="classbitplain.html#ac2867555b4e6a8f80c0469c4904b5e2b">ddf_continue</a> = 
<a name="l01691"></a>01691     (<a class="code" href="classbitplain.html#ac7de652ce719ac94872e6d69cafab953">bpl_con0</a>[<span class="vhdllogic">10</span>] == <span class="vhdllogic">1&#39;b0</span> &amp;&amp; { <span class="vhdllogic">1&#39;b0</span>, <a class="code" href="classbitplain.html#a82b75de9078bc16e85cc3588b423f3cc">ddf_diff_final</a>[<span class="vhdllogic">8</span>:<span class="vhdllogic">4</span>] } + <span class="vhdllogic">6&#39;d1</span> &gt;= { <a class="code" href="classbitplain.html#afc43d6b043af355c60160dd1ead8ba05">bitplain_ram_addr</a> + <span class="vhdllogic">5&#39;d1</span>, <span class="vhdllogic">1&#39;b0</span> } ) ||
<a name="l01692"></a>01692     (<a class="code" href="classbitplain.html#ac7de652ce719ac94872e6d69cafab953">bpl_con0</a>[<span class="vhdllogic">10</span>] == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classbitplain.html#a82b75de9078bc16e85cc3588b423f3cc">ddf_diff_final</a>[<span class="vhdllogic">8</span>:<span class="vhdllogic">3</span>] + <span class="vhdllogic">6&#39;d2</span>           &gt;= { <a class="code" href="classbitplain.html#afc43d6b043af355c60160dd1ead8ba05">bitplain_ram_addr</a> + <span class="vhdllogic">5&#39;d1</span>, <span class="vhdllogic">1&#39;b0</span> } );
<a name="l01693"></a>01693 
<a name="l01694"></a>01694 <span class="keyword">// lowres: 1C1 / 2 - 8.5 - 8 = D8 - 8 = D0,     x - 17 - 16 = {ddf_stop[8:3], 3&#39;b0}</span>
<a name="l01695"></a>01695 <span class="keyword">// hires:  1C1 / 2 - 4.5 - 8 = DC - 8 = D4,     x - 9  - 16 = {ddf_stop[8:3], 3&#39;b0}</span>
<a name="l01696"></a><a class="code" href="classbitplain.html#ac5a32164c09ddae2c7077b7a0d0ec736">01696</a> <span class="vhdlkeyword">wire</span> <a class="code" href="classbitplain.html#ac5a32164c09ddae2c7077b7a0d0ec736">ddf_finished</a>;
<a name="l01697"></a>01697 <span class="vhdlkeyword">assign</span> <a class="code" href="classbitplain.html#ac5a32164c09ddae2c7077b7a0d0ec736">ddf_finished</a> =
<a name="l01698"></a>01698     (<a class="code" href="classbitplain.html#a01e54581b418c2067c87f3d488a2f659">column_number</a> &gt; { <a class="code" href="classbitplain.html#a763430ffcf00e97021237a0bb9de95ba">ddf_stop_final</a>[<span class="vhdllogic">8</span>:<span class="vhdllogic">3</span>], <span class="vhdllogic">3&#39;b0</span> } + <span class="vhdllogic">9&#39;d32</span>) ||
<a name="l01699"></a>01699     (<a class="code" href="classbitplain.html#a01e54581b418c2067c87f3d488a2f659">column_number</a> == <span class="vhdllogic">9&#39;d451</span>);
<a name="l01700"></a>01700 
<a name="l01701"></a><a class="code" href="classbitplain.html#a34dfa704aa833904a351ff50337e6c79">01701</a> <span class="vhdlkeyword">always</span> @(<span class="vhdlkeyword">posedge</span> <a class="code" href="classbitplain.html#a7ccd0e57f63a130055f344366497ea76">CLK_I</a> <span class="vhdlkeyword">or</span> <span class="vhdlkeyword">negedge</span> <a class="code" href="classbitplain.html#ac6055c1a293de7eff0d53a88e83d235b">reset_n</a>) <span class="vhdlkeyword">begin</span>
<a name="l01702"></a>01702     <span class="vhdlkeyword">if</span>(<a class="code" href="classbitplain.html#ac6055c1a293de7eff0d53a88e83d235b">reset_n</a> == <span class="vhdllogic">1&#39;b0</span>) <span class="vhdlkeyword">begin</span>
<a name="l01703"></a>01703         <a class="code" href="classbitplain.html#aa6737bfc1d2ba5a82ebc8fd9c9e406e9">burst_read_request</a> &lt;= <span class="vhdllogic">1&#39;b0</span>;
<a name="l01704"></a>01704         <a class="code" href="classbitplain.html#a685e63db49715826ec347b13aba4b543">dma_address_full</a> &lt;= <span class="vhdllogic">32&#39;d0</span>;
<a name="l01705"></a>01705         <a class="code" href="classbitplain.html#a893c51280c6c7bb7f7bee91c5e927b8c">new_address</a> &lt;= <span class="vhdllogic">1&#39;b0</span>;
<a name="l01706"></a>01706         <a class="code" href="classbitplain.html#a2611b58530eb58e58e2e14746e5d3568">dma_started</a> &lt;= <span class="vhdllogic">1&#39;b0</span>;
<a name="l01707"></a>01707         <a class="code" href="classbitplain.html#a061f93e91fbc32ede43448879db28e34">shift_delay</a> &lt;= <span class="vhdllogic">1&#39;b0</span>;
<a name="l01708"></a>01708         <a class="code" href="classbitplain.html#a042583361e86ce1f0226319619f5abe4">shift_counter</a> &lt;= <span class="vhdllogic">6&#39;d0</span>;
<a name="l01709"></a>01709         <a class="code" href="classbitplain.html#a0047f3cd922c6cfa6e94fdf80dde1950">shift</a> &lt;= <span class="vhdllogic">32&#39;d0</span>;
<a name="l01710"></a>01710         <a class="code" href="classbitplain.html#aeacccdf3aa68f53eb573c04c517cd02f">even_data</a> &lt;= <span class="vhdllogic">16&#39;d0</span>;
<a name="l01711"></a>01711         <a class="code" href="classbitplain.html#afc43d6b043af355c60160dd1ead8ba05">bitplain_ram_addr</a> &lt;= <span class="vhdllogic">5&#39;d0</span>;
<a name="l01712"></a>01712         <a class="code" href="classbitplain.html#ad0f84226d6957ab16eab80045c005135">dma_state</a> &lt;= <a class="code" href="classbitplain.html#ad56cf00f6e4125b146f19768585ffe6a">DMA_DISABLED</a>;
<a name="l01713"></a>01713     <span class="vhdlkeyword">end</span>
<a name="l01714"></a>01714     <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">begin</span>
<a name="l01715"></a>01715         <span class="vhdlkeyword">if</span>(<a class="code" href="classbitplain.html#aa96750f5688607171be8cb35646cc01b">write_ena</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classbitplain.html#a31e3360c6e2b067c5ae066ff652ec955">write_address</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classbitplain.html#a553900bd2f2f3405d344e297181fc057">write_sel</a>[<span class="vhdllogic">3</span>:<span class="vhdllogic">2</span>] != <span class="vhdllogic">2&#39;b00</span>) <span class="vhdlkeyword">begin</span>
<a name="l01716"></a>01716             <span class="vhdlkeyword">if</span>(<a class="code" href="classbitplain.html#a553900bd2f2f3405d344e297181fc057">write_sel</a>[<span class="vhdllogic">3</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classbitplain.html#a0047f3cd922c6cfa6e94fdf80dde1950">shift</a>[<span class="vhdllogic">31</span>:<span class="vhdllogic">24</span>] &lt;= <a class="code" href="classbitplain.html#ae9873d9d8080cb845dfdffa992e72335">write_data</a>[<span class="vhdllogic">31</span>:<span class="vhdllogic">24</span>];
<a name="l01717"></a>01717             <span class="vhdlkeyword">if</span>(<a class="code" href="classbitplain.html#a553900bd2f2f3405d344e297181fc057">write_sel</a>[<span class="vhdllogic">2</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classbitplain.html#a0047f3cd922c6cfa6e94fdf80dde1950">shift</a>[<span class="vhdllogic">23</span>:<span class="vhdllogic">16</span>] &lt;= <a class="code" href="classbitplain.html#ae9873d9d8080cb845dfdffa992e72335">write_data</a>[<span class="vhdllogic">23</span>:<span class="vhdllogic">16</span>];
<a name="l01718"></a>01718         <span class="vhdlkeyword">end</span>
<a name="l01719"></a>01719         <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classbitplain.html#ad0f84226d6957ab16eab80045c005135">dma_state</a> == <a class="code" href="classbitplain.html#a73be103083210fb3a129f889a83a1b08">DMA_ACTIVE</a> || <a class="code" href="classbitplain.html#ad0f84226d6957ab16eab80045c005135">dma_state</a> == <a class="code" href="classbitplain.html#ad56cf00f6e4125b146f19768585ffe6a">DMA_DISABLED</a>) <span class="vhdlkeyword">begin</span>
<a name="l01720"></a>01720             <a class="code" href="classbitplain.html#a0047f3cd922c6cfa6e94fdf80dde1950">shift</a> &lt;= <span class="vhdllogic">32&#39;d0</span>;
<a name="l01721"></a>01721             <a class="code" href="classbitplain.html#a061f93e91fbc32ede43448879db28e34">shift_delay</a> &lt;= <span class="vhdllogic">1&#39;b0</span>;
<a name="l01722"></a>01722             <a class="code" href="classbitplain.html#a042583361e86ce1f0226319619f5abe4">shift_counter</a> &lt;= <span class="vhdllogic">6&#39;d32</span> + {<span class="vhdllogic">1&#39;b0</span>, <a class="code" href="classbitplain.html#ace852539c0279b4a1b8f783557d55cdc">bpl_delay</a>, <span class="vhdllogic">1&#39;b0</span>};
<a name="l01723"></a>01723             <span class="keyword">// delay in lowres pixels == 2 hires pixels == 0.5 color clock</span>
<a name="l01724"></a>01724         <span class="vhdlkeyword">end</span>
<a name="l01725"></a>01725         <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classbitplain.html#ac5a32164c09ddae2c7077b7a0d0ec736">ddf_finished</a> == <span class="vhdllogic">1&#39;b1</span>) <span class="vhdlkeyword">begin</span>
<a name="l01726"></a>01726             <span class="keyword">// ddf_stop in 2 lowres pixels == 4 hires pixels == 1.0 color clock</span>
<a name="l01727"></a>01727             <a class="code" href="classbitplain.html#a0047f3cd922c6cfa6e94fdf80dde1950">shift</a> &lt;= <span class="vhdllogic">32&#39;d0</span>;
<a name="l01728"></a>01728             <a class="code" href="classbitplain.html#a061f93e91fbc32ede43448879db28e34">shift_delay</a> &lt;= <span class="vhdllogic">1&#39;b0</span>;
<a name="l01729"></a>01729             <a class="code" href="classbitplain.html#a042583361e86ce1f0226319619f5abe4">shift_counter</a> &lt;= <span class="vhdllogic">6&#39;d32</span>;
<a name="l01730"></a>01730         <span class="vhdlkeyword">end</span>
<a name="l01731"></a>01731         <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classbitplain.html#a061f93e91fbc32ede43448879db28e34">shift_delay</a> == <span class="vhdllogic">1&#39;b1</span>) <span class="vhdlkeyword">begin</span>
<a name="l01732"></a>01732             <a class="code" href="classbitplain.html#a061f93e91fbc32ede43448879db28e34">shift_delay</a> &lt;= <span class="vhdllogic">1&#39;b0</span>;
<a name="l01733"></a>01733         <span class="vhdlkeyword">end</span>
<a name="l01734"></a>01734         <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>((<a class="code" href="classbitplain.html#ac7de652ce719ac94872e6d69cafab953">bpl_con0</a>[<span class="vhdllogic">10</span>] == <span class="vhdllogic">1&#39;b0</span> &amp;&amp; <a class="code" href="classbitplain.html#a01e54581b418c2067c87f3d488a2f659">column_number</a> &gt;= { <a class="code" href="classbitplain.html#a181860daf35a843b47d36459fc97becc">ddf_start</a>[<span class="vhdllogic">8</span>:<span class="vhdllogic">3</span>], <span class="vhdllogic">3&#39;b0</span> } + <span class="vhdllogic">9&#39;d17</span>) ||
<a name="l01735"></a>01735                 (<a class="code" href="classbitplain.html#ac7de652ce719ac94872e6d69cafab953">bpl_con0</a>[<span class="vhdllogic">10</span>] == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classbitplain.html#a01e54581b418c2067c87f3d488a2f659">column_number</a> &gt;= { <a class="code" href="classbitplain.html#a181860daf35a843b47d36459fc97becc">ddf_start</a>[<span class="vhdllogic">8</span>:<span class="vhdllogic">3</span>], <span class="vhdllogic">3&#39;b0</span> } + <span class="vhdllogic">9&#39;d9</span>))
<a name="l01736"></a>01736         <span class="vhdlkeyword">begin</span>
<a name="l01737"></a>01737             <span class="vhdlkeyword">if</span>(<a class="code" href="classbitplain.html#a042583361e86ce1f0226319619f5abe4">shift_counter</a> &gt; <span class="vhdllogic">6&#39;d32</span>) <span class="vhdlkeyword">begin</span>
<a name="l01738"></a>01738                 <a class="code" href="classbitplain.html#a042583361e86ce1f0226319619f5abe4">shift_counter</a> &lt;= <a class="code" href="classbitplain.html#a042583361e86ce1f0226319619f5abe4">shift_counter</a> - <span class="vhdllogic">6&#39;d1</span>;
<a name="l01739"></a>01739             <span class="vhdlkeyword">end</span>
<a name="l01740"></a>01740             <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classbitplain.html#a042583361e86ce1f0226319619f5abe4">shift_counter</a> == <span class="vhdllogic">6&#39;d32</span>) <span class="vhdlkeyword">begin</span>
<a name="l01741"></a>01741                 <span class="vhdlkeyword">if</span>(<a class="code" href="classbitplain.html#ac7de652ce719ac94872e6d69cafab953">bpl_con0</a>[<span class="vhdllogic">10</span>] == <span class="vhdllogic">1&#39;b0</span>) <a class="code" href="classbitplain.html#a061f93e91fbc32ede43448879db28e34">shift_delay</a> &lt;= <span class="vhdllogic">1&#39;b1</span>; <span class="keyword">// HIRES==0</span>
<a name="l01742"></a>01742                 <a class="code" href="classbitplain.html#a0047f3cd922c6cfa6e94fdf80dde1950">shift</a> &lt;= <a class="code" href="classbitplain.html#a0efcf2403d11d43c528fde9a70fc954a">bitplain_ram_q</a>;
<a name="l01743"></a>01743                 <a class="code" href="classbitplain.html#a042583361e86ce1f0226319619f5abe4">shift_counter</a> &lt;= <a class="code" href="classbitplain.html#a042583361e86ce1f0226319619f5abe4">shift_counter</a> - <span class="vhdllogic">6&#39;d1</span>;
<a name="l01744"></a>01744             <span class="vhdlkeyword">end</span>
<a name="l01745"></a>01745             <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">begin</span>
<a name="l01746"></a>01746                 <span class="vhdlkeyword">if</span>(<a class="code" href="classbitplain.html#ac7de652ce719ac94872e6d69cafab953">bpl_con0</a>[<span class="vhdllogic">10</span>] == <span class="vhdllogic">1&#39;b0</span>) <a class="code" href="classbitplain.html#a061f93e91fbc32ede43448879db28e34">shift_delay</a> &lt;= <span class="vhdllogic">1&#39;b1</span>; <span class="keyword">// HIRES==0</span>
<a name="l01747"></a>01747                 <a class="code" href="classbitplain.html#a0047f3cd922c6cfa6e94fdf80dde1950">shift</a> &lt;= { <a class="code" href="classbitplain.html#a0047f3cd922c6cfa6e94fdf80dde1950">shift</a>[<span class="vhdllogic">30</span>:<span class="vhdllogic">0</span>], <span class="vhdllogic">1&#39;b0</span> };
<a name="l01748"></a>01748                 
<a name="l01749"></a>01749                 <span class="vhdlkeyword">if</span>(<a class="code" href="classbitplain.html#a042583361e86ce1f0226319619f5abe4">shift_counter</a> == <span class="vhdllogic">6&#39;d1</span>) <a class="code" href="classbitplain.html#a042583361e86ce1f0226319619f5abe4">shift_counter</a> &lt;= <span class="vhdllogic">6&#39;d32</span>;
<a name="l01750"></a>01750                 <span class="vhdlkeyword">else</span> <a class="code" href="classbitplain.html#a042583361e86ce1f0226319619f5abe4">shift_counter</a> &lt;= <a class="code" href="classbitplain.html#a042583361e86ce1f0226319619f5abe4">shift_counter</a> - <span class="vhdllogic">6&#39;d1</span>;
<a name="l01751"></a>01751             <span class="vhdlkeyword">end</span>
<a name="l01752"></a>01752         <span class="vhdlkeyword">end</span>
<a name="l01753"></a>01753         
<a name="l01754"></a>01754         <span class="vhdlkeyword">if</span>(<a class="code" href="classbitplain.html#ae6b6b111bda0fd62fd6f04890ae87c67">burst_read_enabled</a> == <span class="vhdllogic">1&#39;b0</span>) <span class="vhdlkeyword">begin</span>
<a name="l01755"></a>01755             <a class="code" href="classbitplain.html#afc43d6b043af355c60160dd1ead8ba05">bitplain_ram_addr</a> &lt;= <span class="vhdllogic">5&#39;d0</span>;
<a name="l01756"></a>01756             <a class="code" href="classbitplain.html#ad0f84226d6957ab16eab80045c005135">dma_state</a> &lt;= <a class="code" href="classbitplain.html#ad56cf00f6e4125b146f19768585ffe6a">DMA_DISABLED</a>;
<a name="l01757"></a>01757         <span class="vhdlkeyword">end</span>
<a name="l01758"></a>01758         <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classbitplain.html#ad0f84226d6957ab16eab80045c005135">dma_state</a> == <a class="code" href="classbitplain.html#ad56cf00f6e4125b146f19768585ffe6a">DMA_DISABLED</a> &amp;&amp; <a class="code" href="classbitplain.html#a5ab185aa9b35e07760a5431145c0331f">line_start</a> == <span class="vhdllogic">1&#39;b1</span>) <span class="vhdlkeyword">begin</span>
<a name="l01759"></a>01759             <a class="code" href="classbitplain.html#afc43d6b043af355c60160dd1ead8ba05">bitplain_ram_addr</a> &lt;= <span class="vhdllogic">5&#39;d0</span>;
<a name="l01760"></a>01760             <a class="code" href="classbitplain.html#ad0f84226d6957ab16eab80045c005135">dma_state</a> &lt;= <a class="code" href="classbitplain.html#a73be103083210fb3a129f889a83a1b08">DMA_ACTIVE</a>;
<a name="l01761"></a>01761             <a class="code" href="classbitplain.html#aa6737bfc1d2ba5a82ebc8fd9c9e406e9">burst_read_request</a> &lt;= <span class="vhdllogic">1&#39;b1</span>;
<a name="l01762"></a>01762             <a class="code" href="classbitplain.html#a2611b58530eb58e58e2e14746e5d3568">dma_started</a> &lt;= <span class="vhdllogic">1&#39;b0</span>;
<a name="l01763"></a>01763             <a class="code" href="classbitplain.html#a893c51280c6c7bb7f7bee91c5e927b8c">new_address</a> &lt;= <span class="vhdllogic">1&#39;b0</span>;
<a name="l01764"></a>01764         <span class="vhdlkeyword">end</span>
<a name="l01765"></a>01765         <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classbitplain.html#ad0f84226d6957ab16eab80045c005135">dma_state</a> == <a class="code" href="classbitplain.html#a73be103083210fb3a129f889a83a1b08">DMA_ACTIVE</a> &amp;&amp; <a class="code" href="classbitplain.html#ac0d6c4bbc006c19c8df8411606f1a041">burst_read_ready</a> == <span class="vhdllogic">1&#39;b1</span>) <span class="vhdlkeyword">begin</span>
<a name="l01766"></a>01766             <span class="vhdlkeyword">if</span>(<a class="code" href="classbitplain.html#ac2867555b4e6a8f80c0469c4904b5e2b">ddf_continue</a> == <span class="vhdllogic">1&#39;b1</span>) <span class="vhdlkeyword">begin</span>
<a name="l01767"></a>01767                 <span class="vhdlkeyword">if</span>(<a class="code" href="classbitplain.html#a685e63db49715826ec347b13aba4b543">dma_address_full</a>[<span class="vhdllogic">1</span>] == <span class="vhdllogic">1&#39;b0</span> || <a class="code" href="classbitplain.html#a2611b58530eb58e58e2e14746e5d3568">dma_started</a> == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classbitplain.html#afc43d6b043af355c60160dd1ead8ba05">bitplain_ram_addr</a> &lt;= <a class="code" href="classbitplain.html#afc43d6b043af355c60160dd1ead8ba05">bitplain_ram_addr</a> + <span class="vhdllogic">5&#39;d1</span>;
<a name="l01768"></a>01768             <span class="vhdlkeyword">end</span>
<a name="l01769"></a>01769             <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">begin</span>
<a name="l01770"></a>01770                 <a class="code" href="classbitplain.html#afc43d6b043af355c60160dd1ead8ba05">bitplain_ram_addr</a> &lt;= <span class="vhdllogic">5&#39;d0</span>;
<a name="l01771"></a>01771                 <a class="code" href="classbitplain.html#ad0f84226d6957ab16eab80045c005135">dma_state</a> &lt;= <a class="code" href="classbitplain.html#adfcdbd8aa4d91d5e75d95c6a679c5cf4">DMA_INACTIVE</a>;
<a name="l01772"></a>01772                 <a class="code" href="classbitplain.html#aa6737bfc1d2ba5a82ebc8fd9c9e406e9">burst_read_request</a> &lt;= <span class="vhdllogic">1&#39;b0</span>;
<a name="l01773"></a>01773             <span class="vhdlkeyword">end</span>
<a name="l01774"></a>01774         <span class="vhdlkeyword">end</span>
<a name="l01775"></a>01775         <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classbitplain.html#ad0f84226d6957ab16eab80045c005135">dma_state</a> == <a class="code" href="classbitplain.html#adfcdbd8aa4d91d5e75d95c6a679c5cf4">DMA_INACTIVE</a> &amp;&amp; <a class="code" href="classbitplain.html#ac5a32164c09ddae2c7077b7a0d0ec736">ddf_finished</a> == <span class="vhdllogic">1&#39;b1</span>) <span class="vhdlkeyword">begin</span>
<a name="l01776"></a>01776             <a class="code" href="classbitplain.html#afc43d6b043af355c60160dd1ead8ba05">bitplain_ram_addr</a> &lt;= <span class="vhdllogic">5&#39;d0</span>;
<a name="l01777"></a>01777             <a class="code" href="classbitplain.html#ad0f84226d6957ab16eab80045c005135">dma_state</a> &lt;= <a class="code" href="classbitplain.html#ad56cf00f6e4125b146f19768585ffe6a">DMA_DISABLED</a>;
<a name="l01778"></a>01778         <span class="vhdlkeyword">end</span>
<a name="l01779"></a>01779         <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classbitplain.html#ad0f84226d6957ab16eab80045c005135">dma_state</a> == <a class="code" href="classbitplain.html#adfcdbd8aa4d91d5e75d95c6a679c5cf4">DMA_INACTIVE</a> &amp;&amp; <a class="code" href="classbitplain.html#a042583361e86ce1f0226319619f5abe4">shift_counter</a> == <span class="vhdllogic">6&#39;d2</span> &amp;&amp; <a class="code" href="classbitplain.html#a061f93e91fbc32ede43448879db28e34">shift_delay</a> == <span class="vhdllogic">1&#39;b0</span>) <span class="vhdlkeyword">begin</span>
<a name="l01780"></a>01780             <a class="code" href="classbitplain.html#afc43d6b043af355c60160dd1ead8ba05">bitplain_ram_addr</a> &lt;= <a class="code" href="classbitplain.html#afc43d6b043af355c60160dd1ead8ba05">bitplain_ram_addr</a> + <span class="vhdllogic">5&#39;d1</span>;
<a name="l01781"></a>01781         <span class="vhdlkeyword">end</span>
<a name="l01782"></a>01782         
<a name="l01783"></a>01783         <span class="vhdlkeyword">if</span>(<a class="code" href="classbitplain.html#aa96750f5688607171be8cb35646cc01b">write_ena</a> == <span class="vhdllogic">1&#39;b1</span>) <span class="vhdlkeyword">begin</span>
<a name="l01784"></a>01784             <span class="vhdlkeyword">if</span>(<a class="code" href="classbitplain.html#a31e3360c6e2b067c5ae066ff652ec955">write_address</a> == <span class="vhdllogic">1&#39;b0</span> &amp;&amp; <a class="code" href="classbitplain.html#a553900bd2f2f3405d344e297181fc057">write_sel</a>[<span class="vhdllogic">0</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classbitplain.html#a685e63db49715826ec347b13aba4b543">dma_address_full</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>] &lt;= <a class="code" href="classbitplain.html#ae9873d9d8080cb845dfdffa992e72335">write_data</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>];
<a name="l01785"></a>01785             <span class="vhdlkeyword">if</span>(<a class="code" href="classbitplain.html#a31e3360c6e2b067c5ae066ff652ec955">write_address</a> == <span class="vhdllogic">1&#39;b0</span> &amp;&amp; <a class="code" href="classbitplain.html#a553900bd2f2f3405d344e297181fc057">write_sel</a>[<span class="vhdllogic">1</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classbitplain.html#a685e63db49715826ec347b13aba4b543">dma_address_full</a>[<span class="vhdllogic">15</span>:<span class="vhdllogic">8</span>] &lt;= <a class="code" href="classbitplain.html#ae9873d9d8080cb845dfdffa992e72335">write_data</a>[<span class="vhdllogic">15</span>:<span class="vhdllogic">8</span>];
<a name="l01786"></a>01786             <span class="vhdlkeyword">if</span>(<a class="code" href="classbitplain.html#a31e3360c6e2b067c5ae066ff652ec955">write_address</a> == <span class="vhdllogic">1&#39;b0</span> &amp;&amp; <a class="code" href="classbitplain.html#a553900bd2f2f3405d344e297181fc057">write_sel</a>[<span class="vhdllogic">2</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classbitplain.html#a685e63db49715826ec347b13aba4b543">dma_address_full</a>[<span class="vhdllogic">23</span>:<span class="vhdllogic">16</span>] &lt;= <a class="code" href="classbitplain.html#ae9873d9d8080cb845dfdffa992e72335">write_data</a>[<span class="vhdllogic">23</span>:<span class="vhdllogic">16</span>];
<a name="l01787"></a>01787             <span class="vhdlkeyword">if</span>(<a class="code" href="classbitplain.html#a31e3360c6e2b067c5ae066ff652ec955">write_address</a> == <span class="vhdllogic">1&#39;b0</span> &amp;&amp; <a class="code" href="classbitplain.html#a553900bd2f2f3405d344e297181fc057">write_sel</a>[<span class="vhdllogic">3</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classbitplain.html#a685e63db49715826ec347b13aba4b543">dma_address_full</a>[<span class="vhdllogic">31</span>:<span class="vhdllogic">24</span>] &lt;= <a class="code" href="classbitplain.html#ae9873d9d8080cb845dfdffa992e72335">write_data</a>[<span class="vhdllogic">31</span>:<span class="vhdllogic">24</span>];
<a name="l01788"></a>01788             <a class="code" href="classbitplain.html#a893c51280c6c7bb7f7bee91c5e927b8c">new_address</a> &lt;= <span class="vhdllogic">1&#39;b1</span>;
<a name="l01789"></a>01789         <span class="vhdlkeyword">end</span>
<a name="l01790"></a>01790         <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classbitplain.html#ad0f84226d6957ab16eab80045c005135">dma_state</a> == <a class="code" href="classbitplain.html#a73be103083210fb3a129f889a83a1b08">DMA_ACTIVE</a> &amp;&amp; <a class="code" href="classbitplain.html#ac0d6c4bbc006c19c8df8411606f1a041">burst_read_ready</a> == <span class="vhdllogic">1&#39;b1</span>) <span class="vhdlkeyword">begin</span>
<a name="l01791"></a>01791             <span class="vhdlkeyword">if</span>(<a class="code" href="classbitplain.html#ac2867555b4e6a8f80c0469c4904b5e2b">ddf_continue</a> == <span class="vhdllogic">1&#39;b0</span>) <span class="vhdlkeyword">begin</span>
<a name="l01792"></a>01792                 <span class="vhdlkeyword">if</span>(<a class="code" href="classbitplain.html#a893c51280c6c7bb7f7bee91c5e927b8c">new_address</a> == <span class="vhdllogic">1&#39;b1</span>)         <a class="code" href="classbitplain.html#a685e63db49715826ec347b13aba4b543">dma_address_full</a> &lt;= <a class="code" href="classbitplain.html#a685e63db49715826ec347b13aba4b543">dma_address_full</a>;
<a name="l01793"></a>01793                 <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classbitplain.html#ac7de652ce719ac94872e6d69cafab953">bpl_con0</a>[<span class="vhdllogic">10</span>] == <span class="vhdllogic">1&#39;b0</span>)   <a class="code" href="classbitplain.html#a685e63db49715826ec347b13aba4b543">dma_address_full</a> &lt;= <a class="code" href="classbitplain.html#a685e63db49715826ec347b13aba4b543">dma_address_full</a> + { {<span class="vhdllogic">16</span>{<a class="code" href="classbitplain.html#aed34a8dde38409fd98a3322664465e4d">bpl_modulo</a>[<span class="vhdllogic">15</span>]}}, <a class="code" href="classbitplain.html#aed34a8dde38409fd98a3322664465e4d">bpl_modulo</a> } + { { <span class="vhdllogic">1&#39;b0</span>, <a class="code" href="classbitplain.html#a82b75de9078bc16e85cc3588b423f3cc">ddf_diff_final</a>[<span class="vhdllogic">8</span>:<span class="vhdllogic">4</span>] } + <span class="vhdllogic">6&#39;d1</span>, <span class="vhdllogic">1&#39;b0</span> };
<a name="l01794"></a>01794                 <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classbitplain.html#ac7de652ce719ac94872e6d69cafab953">bpl_con0</a>[<span class="vhdllogic">10</span>] == <span class="vhdllogic">1&#39;b1</span>)   <a class="code" href="classbitplain.html#a685e63db49715826ec347b13aba4b543">dma_address_full</a> &lt;= <a class="code" href="classbitplain.html#a685e63db49715826ec347b13aba4b543">dma_address_full</a> + { {<span class="vhdllogic">16</span>{<a class="code" href="classbitplain.html#aed34a8dde38409fd98a3322664465e4d">bpl_modulo</a>[<span class="vhdllogic">15</span>]}}, <a class="code" href="classbitplain.html#aed34a8dde38409fd98a3322664465e4d">bpl_modulo</a> } + { <a class="code" href="classbitplain.html#a82b75de9078bc16e85cc3588b423f3cc">ddf_diff_final</a>[<span class="vhdllogic">8</span>:<span class="vhdllogic">3</span>] + <span class="vhdllogic">6&#39;d2</span>, <span class="vhdllogic">1&#39;b0</span> };
<a name="l01795"></a>01795                 
<a name="l01796"></a>01796                 <a class="code" href="classbitplain.html#a893c51280c6c7bb7f7bee91c5e927b8c">new_address</a> &lt;= <span class="vhdllogic">1&#39;b0</span>;
<a name="l01797"></a>01797             <span class="vhdlkeyword">end</span>
<a name="l01798"></a>01798             
<a name="l01799"></a>01799             <a class="code" href="classbitplain.html#a2611b58530eb58e58e2e14746e5d3568">dma_started</a> &lt;= <span class="vhdllogic">1&#39;b1</span>;
<a name="l01800"></a>01800             <a class="code" href="classbitplain.html#aeacccdf3aa68f53eb573c04c517cd02f">even_data</a> &lt;= <a class="code" href="classbitplain.html#a269596467b7dc4c1676982afd60ed4f4">burst_read_data</a>[<span class="vhdllogic">15</span>:<span class="vhdllogic">0</span>];
<a name="l01801"></a>01801         <span class="vhdlkeyword">end</span>
<a name="l01802"></a>01802     <span class="vhdlkeyword">end</span>
<a name="l01803"></a>01803 <span class="vhdlkeyword">end</span>
<a name="l01804"></a>01804 
<a name="l01805"></a>01805 <span class="vhdlkeyword">endmodule</span>
<a name="l01806"></a>01806 
<a name="l01807"></a>01807 <span class="keyword">/*! \brief Single sprite module.</span>
<a name="l01808"></a>01808 <span class="keyword"> */</span>
<a name="l01809"></a><a class="code" href="classsprite.html">01809</a> <span class="vhdlkeyword">module</span> <a class="code" href="classsprite.html">sprite</a>(
<a name="l01810"></a><a class="code" href="classsprite.html#a42c8010ba50e5336c9a45c55e0316eba">01810</a>     <span class="vhdlkeyword">input</span> <a class="code" href="classsprite.html#a42c8010ba50e5336c9a45c55e0316eba">CLK_I</a>,
<a name="l01811"></a><a class="code" href="classsprite.html#a2ebcd6731afc611a143ae971e657ba77">01811</a>     <span class="vhdlkeyword">input</span> <a class="code" href="classsprite.html#a2ebcd6731afc611a143ae971e657ba77">reset_n</a>,
<a name="l01812"></a>01812     
<a name="l01813"></a><a class="code" href="classsprite.html#ad4163c8d864a8e3b9cb38892bd2f8335">01813</a>     <span class="vhdlkeyword">input</span> <a class="code" href="classsprite.html#ad4163c8d864a8e3b9cb38892bd2f8335">line_start</a>,
<a name="l01814"></a><a class="code" href="classsprite.html#aa539ca91a61a30ad96248f5cf99148e2">01814</a>     <span class="vhdlkeyword">input</span> [<span class="vhdllogic">8</span>:<span class="vhdllogic">0</span>] <a class="code" href="classsprite.html#aa539ca91a61a30ad96248f5cf99148e2">line_number</a>,
<a name="l01815"></a><a class="code" href="classsprite.html#a5c6a30c2697339de6c2d50856ae112e2">01815</a>     <span class="vhdlkeyword">input</span> [<span class="vhdllogic">8</span>:<span class="vhdllogic">0</span>] <a class="code" href="classsprite.html#a5c6a30c2697339de6c2d50856ae112e2">column_number</a>,
<a name="l01816"></a>01816     
<a name="l01817"></a><a class="code" href="classsprite.html#a3a7679be423fbf3f83c3fe5b30ef742f">01817</a>     <span class="vhdlkeyword">input</span> <a class="code" href="classsprite.html#a3a7679be423fbf3f83c3fe5b30ef742f">dma_ena</a>,
<a name="l01818"></a><a class="code" href="classsprite.html#a413d2813585f09309d46232998c19f85">01818</a>     <span class="vhdlkeyword">output</span> <span class="vhdlkeyword">reg</span> <a class="code" href="classsprite.html#a413d2813585f09309d46232998c19f85">dma_req</a>,
<a name="l01819"></a><a class="code" href="classsprite.html#a5ce50869414c1c126080730a645b673f">01819</a>     <span class="vhdlkeyword">output</span> [<span class="vhdllogic">31</span>:<span class="vhdllogic">2</span>] <a class="code" href="classsprite.html#a5ce50869414c1c126080730a645b673f">dma_address</a>,
<a name="l01820"></a><a class="code" href="classsprite.html#ad220124fb82cdfac42b27e643f0ed125">01820</a>     <span class="vhdlkeyword">input</span> <a class="code" href="classsprite.html#ad220124fb82cdfac42b27e643f0ed125">dma_done</a>,
<a name="l01821"></a><a class="code" href="classsprite.html#a3bf0ca2d8608176da76b9f5c0484176b">01821</a>     <span class="vhdlkeyword">input</span> [<span class="vhdllogic">31</span>:<span class="vhdllogic">0</span>] <a class="code" href="classsprite.html#a3bf0ca2d8608176da76b9f5c0484176b">dma_data</a>,
<a name="l01822"></a>01822     
<a name="l01823"></a><a class="code" href="classsprite.html#a8a85308a8c349a19336877c957b55f99">01823</a>     <span class="vhdlkeyword">input</span> <a class="code" href="classsprite.html#a8a85308a8c349a19336877c957b55f99">write_ena</a>,
<a name="l01824"></a>01824     <span class="keyword">// 0:   SPRxPTH,    SPRxPTL,</span>
<a name="l01825"></a>01825     <span class="keyword">// 1:   SPRxPOS,    SPRxCTL,</span>
<a name="l01826"></a>01826     <span class="keyword">// 2:   SPRxDATA,   SPRxDATB,</span>
<a name="l01827"></a><a class="code" href="classsprite.html#ad33d6e9590fc9b73d5a92aab8bd68276">01827</a>     <span class="vhdlkeyword">input</span> [<span class="vhdllogic">1</span>:<span class="vhdllogic">0</span>] <a class="code" href="classsprite.html#ad33d6e9590fc9b73d5a92aab8bd68276">write_address</a>,
<a name="l01828"></a><a class="code" href="classsprite.html#af1edfe6e9df5bd185928d5aff0e07638">01828</a>     <span class="vhdlkeyword">input</span> [<span class="vhdllogic">31</span>:<span class="vhdllogic">0</span>] <a class="code" href="classsprite.html#af1edfe6e9df5bd185928d5aff0e07638">write_data</a>,
<a name="l01829"></a><a class="code" href="classsprite.html#a5a9c4d9a17ab708fa90ddeb53ea0dd11">01829</a>     <span class="vhdlkeyword">input</span> [<span class="vhdllogic">3</span>:<span class="vhdllogic">0</span>] <a class="code" href="classsprite.html#a5a9c4d9a17ab708fa90ddeb53ea0dd11">write_sel</a>,
<a name="l01830"></a>01830     
<a name="l01831"></a><a class="code" href="classsprite.html#ae1d6cbb444aa4080db1b37c6fd04617e">01831</a>     <span class="vhdlkeyword">output</span> <span class="vhdlkeyword">reg</span> <a class="code" href="classsprite.html#ae1d6cbb444aa4080db1b37c6fd04617e">attached</a>,
<a name="l01832"></a><a class="code" href="classsprite.html#a88a2678be5e5cf8014f8140cacaf95be">01832</a>     <span class="vhdlkeyword">output</span> [<span class="vhdllogic">1</span>:<span class="vhdllogic">0</span>] <a class="code" href="classsprite.html#a88a2678be5e5cf8014f8140cacaf95be">color</a>
<a name="l01833"></a>01833 );
<a name="l01834"></a>01834 
<a name="l01835"></a>01835 <span class="keyword">// { SPRxPTH high 3 bits, SPRxPTL low 15 bits, lowest bit }</span>
<a name="l01836"></a><a class="code" href="classsprite.html#a03dc8a200330efd6ef3dce689b968828">01836</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">31</span>:<span class="vhdllogic">0</span>] <a class="code" href="classsprite.html#a03dc8a200330efd6ef3dce689b968828">dma_address_full</a>;
<a name="l01837"></a>01837 <span class="vhdlkeyword">assign</span> <a class="code" href="classsprite.html#a5ce50869414c1c126080730a645b673f">dma_address</a> = <a class="code" href="classsprite.html#a03dc8a200330efd6ef3dce689b968828">dma_address_full</a>[<span class="vhdllogic">31</span>:<span class="vhdllogic">2</span>];
<a name="l01838"></a><a class="code" href="classsprite.html#aa11d05827dd9e8f8123b4faf407f6076">01838</a> <span class="vhdlkeyword">reg</span> <a class="code" href="classsprite.html#aa11d05827dd9e8f8123b4faf407f6076">dma_address_bit1</a>;
<a name="l01839"></a>01839 
<a name="l01840"></a>01840 <span class="keyword">// { SPRxDATB, SPRxDATA }</span>
<a name="l01841"></a><a class="code" href="classsprite.html#ab80ce7b2086868a61f9192bceb818160">01841</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">31</span>:<span class="vhdllogic">0</span>] <a class="code" href="classsprite.html#ab80ce7b2086868a61f9192bceb818160">data</a>;
<a name="l01842"></a>01842 <span class="keyword">// { SPRxCTL[2], SPRxPOS[15:8] }</span>
<a name="l01843"></a><a class="code" href="classsprite.html#a60948bd2dd4f8b5decc21bde690ca4e7">01843</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">8</span>:<span class="vhdllogic">0</span>] <a class="code" href="classsprite.html#a60948bd2dd4f8b5decc21bde690ca4e7">vert_start</a>;
<a name="l01844"></a>01844 <span class="keyword">// { SPRxCTL[1], SPRxCTL[15:8] }</span>
<a name="l01845"></a><a class="code" href="classsprite.html#a86c465fbdcb67670c605fb5260e41e80">01845</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">8</span>:<span class="vhdllogic">0</span>] <a class="code" href="classsprite.html#a86c465fbdcb67670c605fb5260e41e80">vert_end</a>;
<a name="l01846"></a>01846 <span class="keyword">// { SPRxPOS[7:0], SPRxCTL[0] }</span>
<a name="l01847"></a><a class="code" href="classsprite.html#a0fc24ecdae5ac4b8cc93aae74c6eb328">01847</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">8</span>:<span class="vhdllogic">0</span>] <a class="code" href="classsprite.html#a0fc24ecdae5ac4b8cc93aae74c6eb328">horiz_start</a>;
<a name="l01848"></a>01848 <span class="keyword">// SPRxCTL[7]</span>
<a name="l01849"></a>01849 <span class="keyword">// output reg attached</span>
<a name="l01850"></a>01850 
<a name="l01851"></a>01851 <span class="keyword">// disabled by write to SPRxCTL, enabled by write to SPRxDATA</span>
<a name="l01852"></a><a class="code" href="classsprite.html#a41e7d1cb30d05d3f327af8f4921d28df">01852</a> <span class="vhdlkeyword">reg</span> <a class="code" href="classsprite.html#a41e7d1cb30d05d3f327af8f4921d28df">ena_horiz_comp</a>;
<a name="l01853"></a>01853 
<a name="l01854"></a><a class="code" href="classsprite.html#af7125c84d82b084e3cd93753d04107ed">01854</a> <span class="vhdlkeyword">reg</span> <a class="code" href="classsprite.html#af7125c84d82b084e3cd93753d04107ed">shift_delay</a>;
<a name="l01855"></a><a class="code" href="classsprite.html#a3c48a3b2a6c09862286c114d8bcd6414">01855</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">15</span>:<span class="vhdllogic">0</span>] <a class="code" href="classsprite.html#a3c48a3b2a6c09862286c114d8bcd6414">shiftA</a>;
<a name="l01856"></a><a class="code" href="classsprite.html#a179d285ecd89c785c53569987c0dcfbe">01856</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">15</span>:<span class="vhdllogic">0</span>] <a class="code" href="classsprite.html#a179d285ecd89c785c53569987c0dcfbe">shiftB</a>;
<a name="l01857"></a>01857 <span class="vhdlkeyword">assign</span> <a class="code" href="classsprite.html#a88a2678be5e5cf8014f8140cacaf95be">color</a> = { <a class="code" href="classsprite.html#a3c48a3b2a6c09862286c114d8bcd6414">shiftA</a>[<span class="vhdllogic">15</span>], <a class="code" href="classsprite.html#a179d285ecd89c785c53569987c0dcfbe">shiftB</a>[<span class="vhdllogic">15</span>] };
<a name="l01858"></a>01858 
<a name="l01859"></a><a class="code" href="classsprite.html#a582f470277642302aea683f055ea198c">01859</a> <span class="vhdlkeyword">reg</span> [<span class="vhdllogic">1</span>:<span class="vhdllogic">0</span>] <a class="code" href="classsprite.html#a582f470277642302aea683f055ea198c">dma_state</a>;
<a name="l01860"></a><a class="code" href="classsprite.html#af0db35fb5db0761267f5490c0d76a995">01860</a> <span class="vhdlkeyword">parameter</span> [<span class="vhdllogic">1</span>:<span class="vhdllogic">0</span>]
<a name="l01861"></a>01861     <a class="code" href="classsprite.html#ac2cc0b61f35846820a5f4d4eb48d5d5e">DMA_DISABLED</a> = <span class="vhdllogic">2&#39;d0</span>,
<a name="l01862"></a>01862     <a class="code" href="classsprite.html#a45534c884a5e36be30c2cd54533f611a">DMA_POS_CTL</a> = <span class="vhdllogic">2&#39;d1</span>,
<a name="l01863"></a>01863     <a class="code" href="classsprite.html#af0db35fb5db0761267f5490c0d76a995">DMA_DAT</a> = <span class="vhdllogic">2&#39;d2</span>;
<a name="l01864"></a>01864 
<a name="l01865"></a><a class="code" href="classsprite.html#a1ef8b136e64215303c0bfe1b2d3931a6">01865</a> <span class="vhdlkeyword">always</span> @(<span class="vhdlkeyword">posedge</span> <a class="code" href="classsprite.html#a42c8010ba50e5336c9a45c55e0316eba">CLK_I</a> <span class="vhdlkeyword">or</span> <span class="vhdlkeyword">negedge</span> <a class="code" href="classsprite.html#a2ebcd6731afc611a143ae971e657ba77">reset_n</a>) <span class="vhdlkeyword">begin</span>
<a name="l01866"></a>01866     <span class="vhdlkeyword">if</span>(<a class="code" href="classsprite.html#a2ebcd6731afc611a143ae971e657ba77">reset_n</a> == <span class="vhdllogic">1&#39;b0</span>) <span class="vhdlkeyword">begin</span>
<a name="l01867"></a>01867         <a class="code" href="classsprite.html#a413d2813585f09309d46232998c19f85">dma_req</a> &lt;= <span class="vhdllogic">1&#39;b0</span>;
<a name="l01868"></a>01868         <a class="code" href="classsprite.html#a03dc8a200330efd6ef3dce689b968828">dma_address_full</a> &lt;= <span class="vhdllogic">32&#39;d0</span>;
<a name="l01869"></a>01869         <a class="code" href="classsprite.html#aa11d05827dd9e8f8123b4faf407f6076">dma_address_bit1</a> &lt;= <span class="vhdllogic">1&#39;b0</span>;
<a name="l01870"></a>01870         <a class="code" href="classsprite.html#ae1d6cbb444aa4080db1b37c6fd04617e">attached</a> &lt;= <span class="vhdllogic">1&#39;b0</span>;
<a name="l01871"></a>01871         <a class="code" href="classsprite.html#ab80ce7b2086868a61f9192bceb818160">data</a> &lt;= <span class="vhdllogic">32&#39;d0</span>;
<a name="l01872"></a>01872         <a class="code" href="classsprite.html#a60948bd2dd4f8b5decc21bde690ca4e7">vert_start</a> &lt;= <span class="vhdllogic">9&#39;d0</span>;
<a name="l01873"></a>01873         <a class="code" href="classsprite.html#a86c465fbdcb67670c605fb5260e41e80">vert_end</a> &lt;= <span class="vhdllogic">9&#39;d0</span>;
<a name="l01874"></a>01874         <a class="code" href="classsprite.html#a0fc24ecdae5ac4b8cc93aae74c6eb328">horiz_start</a> &lt;= <span class="vhdllogic">9&#39;d0</span>;
<a name="l01875"></a>01875         <a class="code" href="classsprite.html#a41e7d1cb30d05d3f327af8f4921d28df">ena_horiz_comp</a> &lt;= <span class="vhdllogic">1&#39;b0</span>;
<a name="l01876"></a>01876         <a class="code" href="classsprite.html#af7125c84d82b084e3cd93753d04107ed">shift_delay</a> &lt;= <span class="vhdllogic">1&#39;b0</span>;
<a name="l01877"></a>01877         <a class="code" href="classsprite.html#a3c48a3b2a6c09862286c114d8bcd6414">shiftA</a> &lt;= <span class="vhdllogic">16&#39;d0</span>;
<a name="l01878"></a>01878         <a class="code" href="classsprite.html#a179d285ecd89c785c53569987c0dcfbe">shiftB</a> &lt;= <span class="vhdllogic">16&#39;d0</span>;
<a name="l01879"></a>01879         <a class="code" href="classsprite.html#a582f470277642302aea683f055ea198c">dma_state</a> &lt;= <a class="code" href="classsprite.html#ac2cc0b61f35846820a5f4d4eb48d5d5e">DMA_DISABLED</a>;
<a name="l01880"></a>01880     <span class="vhdlkeyword">end</span>
<a name="l01881"></a>01881     <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">begin</span>
<a name="l01882"></a>01882         <span class="vhdlkeyword">if</span>(<a class="code" href="classsprite.html#a41e7d1cb30d05d3f327af8f4921d28df">ena_horiz_comp</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classsprite.html#a0fc24ecdae5ac4b8cc93aae74c6eb328">horiz_start</a> == <a class="code" href="classsprite.html#a5c6a30c2697339de6c2d50856ae112e2">column_number</a>) <span class="vhdlkeyword">begin</span>
<a name="l01883"></a>01883             <a class="code" href="classsprite.html#af7125c84d82b084e3cd93753d04107ed">shift_delay</a> &lt;= <span class="vhdllogic">1&#39;b0</span>;
<a name="l01884"></a>01884             <a class="code" href="classsprite.html#a3c48a3b2a6c09862286c114d8bcd6414">shiftA</a> &lt;= <a class="code" href="classsprite.html#ab80ce7b2086868a61f9192bceb818160">data</a>[<span class="vhdllogic">15</span>:<span class="vhdllogic">0</span>];
<a name="l01885"></a>01885             <a class="code" href="classsprite.html#a179d285ecd89c785c53569987c0dcfbe">shiftB</a> &lt;= <a class="code" href="classsprite.html#ab80ce7b2086868a61f9192bceb818160">data</a>[<span class="vhdllogic">31</span>:<span class="vhdllogic">16</span>];
<a name="l01886"></a>01886         <span class="vhdlkeyword">end</span>
<a name="l01887"></a>01887         <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classsprite.html#af7125c84d82b084e3cd93753d04107ed">shift_delay</a> == <span class="vhdllogic">1&#39;b1</span>) <span class="vhdlkeyword">begin</span>
<a name="l01888"></a>01888             <a class="code" href="classsprite.html#af7125c84d82b084e3cd93753d04107ed">shift_delay</a> &lt;= <span class="vhdllogic">1&#39;b0</span>;
<a name="l01889"></a>01889         <span class="vhdlkeyword">end</span>
<a name="l01890"></a>01890         <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">begin</span>
<a name="l01891"></a>01891             <a class="code" href="classsprite.html#af7125c84d82b084e3cd93753d04107ed">shift_delay</a> &lt;= <span class="vhdllogic">1&#39;b1</span>;
<a name="l01892"></a>01892             <a class="code" href="classsprite.html#a3c48a3b2a6c09862286c114d8bcd6414">shiftA</a> &lt;= { <a class="code" href="classsprite.html#a3c48a3b2a6c09862286c114d8bcd6414">shiftA</a>[<span class="vhdllogic">14</span>:<span class="vhdllogic">0</span>], <span class="vhdllogic">1&#39;b0</span> };
<a name="l01893"></a>01893             <a class="code" href="classsprite.html#a179d285ecd89c785c53569987c0dcfbe">shiftB</a> &lt;= { <a class="code" href="classsprite.html#a179d285ecd89c785c53569987c0dcfbe">shiftB</a>[<span class="vhdllogic">14</span>:<span class="vhdllogic">0</span>], <span class="vhdllogic">1&#39;b0</span> };
<a name="l01894"></a>01894         <span class="vhdlkeyword">end</span>
<a name="l01895"></a>01895         
<a name="l01896"></a>01896         <span class="vhdlkeyword">if</span>(         (<a class="code" href="classsprite.html#a8a85308a8c349a19336877c957b55f99">write_ena</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classsprite.html#ad33d6e9590fc9b73d5a92aab8bd68276">write_address</a> == <span class="vhdllogic">2&#39;d1</span> &amp;&amp; (<a class="code" href="classsprite.html#a5a9c4d9a17ab708fa90ddeb53ea0dd11">write_sel</a>[<span class="vhdllogic">0</span>] == <span class="vhdllogic">1&#39;b1</span> || <a class="code" href="classsprite.html#a5a9c4d9a17ab708fa90ddeb53ea0dd11">write_sel</a>[<span class="vhdllogic">1</span>] == <span class="vhdllogic">1&#39;b1</span>)) ||
<a name="l01897"></a>01897                     (<a class="code" href="classsprite.html#ad220124fb82cdfac42b27e643f0ed125">dma_done</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classsprite.html#a582f470277642302aea683f055ea198c">dma_state</a> == <a class="code" href="classsprite.html#a45534c884a5e36be30c2cd54533f611a">DMA_POS_CTL</a>) )    <a class="code" href="classsprite.html#a41e7d1cb30d05d3f327af8f4921d28df">ena_horiz_comp</a> &lt;= <span class="vhdllogic">1&#39;b0</span>;
<a name="l01898"></a>01898         <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(    (<a class="code" href="classsprite.html#a8a85308a8c349a19336877c957b55f99">write_ena</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classsprite.html#ad33d6e9590fc9b73d5a92aab8bd68276">write_address</a> == <span class="vhdllogic">2&#39;d2</span> &amp;&amp; (<a class="code" href="classsprite.html#a5a9c4d9a17ab708fa90ddeb53ea0dd11">write_sel</a>[<span class="vhdllogic">2</span>] == <span class="vhdllogic">1&#39;b1</span> || <a class="code" href="classsprite.html#a5a9c4d9a17ab708fa90ddeb53ea0dd11">write_sel</a>[<span class="vhdllogic">3</span>] == <span class="vhdllogic">1&#39;b1</span>)) ||
<a name="l01899"></a>01899                     (<a class="code" href="classsprite.html#ad220124fb82cdfac42b27e643f0ed125">dma_done</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classsprite.html#a582f470277642302aea683f055ea198c">dma_state</a> == <a class="code" href="classsprite.html#af0db35fb5db0761267f5490c0d76a995">DMA_DAT</a>) )        <a class="code" href="classsprite.html#a41e7d1cb30d05d3f327af8f4921d28df">ena_horiz_comp</a> &lt;= <span class="vhdllogic">1&#39;b1</span>;
<a name="l01900"></a>01900         
<a name="l01901"></a>01901         <span class="vhdlkeyword">if</span>(<a class="code" href="classsprite.html#a3a7679be423fbf3f83c3fe5b30ef742f">dma_ena</a> == <span class="vhdllogic">1&#39;b0</span> || (<a class="code" href="classsprite.html#a8a85308a8c349a19336877c957b55f99">write_ena</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classsprite.html#ad33d6e9590fc9b73d5a92aab8bd68276">write_address</a> == <span class="vhdllogic">2&#39;d0</span> &amp;&amp; <a class="code" href="classsprite.html#a5a9c4d9a17ab708fa90ddeb53ea0dd11">write_sel</a>[<span class="vhdllogic">3</span>:<span class="vhdllogic">0</span>] != <span class="vhdllogic">4&#39;b0000</span>)) <span class="vhdlkeyword">begin</span>
<a name="l01902"></a>01902             <a class="code" href="classsprite.html#a582f470277642302aea683f055ea198c">dma_state</a> &lt;= <a class="code" href="classsprite.html#ac2cc0b61f35846820a5f4d4eb48d5d5e">DMA_DISABLED</a>;
<a name="l01903"></a>01903             <a class="code" href="classsprite.html#a413d2813585f09309d46232998c19f85">dma_req</a> &lt;= <span class="vhdllogic">1&#39;b0</span>;
<a name="l01904"></a>01904             <a class="code" href="classsprite.html#aa11d05827dd9e8f8123b4faf407f6076">dma_address_bit1</a> &lt;= <span class="vhdllogic">1&#39;b0</span>;
<a name="l01905"></a>01905         <span class="vhdlkeyword">end</span>
<a name="l01906"></a>01906         <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classsprite.html#a582f470277642302aea683f055ea198c">dma_state</a> != <a class="code" href="classsprite.html#ac2cc0b61f35846820a5f4d4eb48d5d5e">DMA_DISABLED</a> &amp;&amp; <a class="code" href="classsprite.html#ad220124fb82cdfac42b27e643f0ed125">dma_done</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classsprite.html#a03dc8a200330efd6ef3dce689b968828">dma_address_full</a>[<span class="vhdllogic">1</span>] == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classsprite.html#aa11d05827dd9e8f8123b4faf407f6076">dma_address_bit1</a> == <span class="vhdllogic">1&#39;b0</span>) <span class="vhdlkeyword">begin</span>
<a name="l01907"></a>01907             <a class="code" href="classsprite.html#a413d2813585f09309d46232998c19f85">dma_req</a> &lt;= <span class="vhdllogic">1&#39;b1</span>;
<a name="l01908"></a>01908             <a class="code" href="classsprite.html#aa11d05827dd9e8f8123b4faf407f6076">dma_address_bit1</a> &lt;= <span class="vhdllogic">1&#39;b1</span>;
<a name="l01909"></a>01909             <a class="code" href="classsprite.html#a03dc8a200330efd6ef3dce689b968828">dma_address_full</a> &lt;= <a class="code" href="classsprite.html#a03dc8a200330efd6ef3dce689b968828">dma_address_full</a> + <span class="vhdllogic">19&#39;d4</span>;
<a name="l01910"></a>01910         <span class="vhdlkeyword">end</span>
<a name="l01911"></a>01911         <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classsprite.html#ad4163c8d864a8e3b9cb38892bd2f8335">line_start</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; (<a class="code" href="classsprite.html#a582f470277642302aea683f055ea198c">dma_state</a> == <a class="code" href="classsprite.html#ac2cc0b61f35846820a5f4d4eb48d5d5e">DMA_DISABLED</a> ||
<a name="l01912"></a>01912             (<a class="code" href="classsprite.html#a582f470277642302aea683f055ea198c">dma_state</a> == <a class="code" href="classsprite.html#af0db35fb5db0761267f5490c0d76a995">DMA_DAT</a> &amp;&amp; <a class="code" href="classsprite.html#aa539ca91a61a30ad96248f5cf99148e2">line_number</a> == <a class="code" href="classsprite.html#a86c465fbdcb67670c605fb5260e41e80">vert_end</a> &amp;&amp; <a class="code" href="classsprite.html#a60948bd2dd4f8b5decc21bde690ca4e7">vert_start</a> != <a class="code" href="classsprite.html#a86c465fbdcb67670c605fb5260e41e80">vert_end</a>)) )
<a name="l01913"></a>01913         <span class="vhdlkeyword">begin</span>
<a name="l01914"></a>01914             <a class="code" href="classsprite.html#a582f470277642302aea683f055ea198c">dma_state</a> &lt;= <a class="code" href="classsprite.html#a45534c884a5e36be30c2cd54533f611a">DMA_POS_CTL</a>;
<a name="l01915"></a>01915             <a class="code" href="classsprite.html#a413d2813585f09309d46232998c19f85">dma_req</a> &lt;= <span class="vhdllogic">1&#39;b1</span>;
<a name="l01916"></a>01916         <span class="vhdlkeyword">end</span>
<a name="l01917"></a>01917         <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classsprite.html#ad220124fb82cdfac42b27e643f0ed125">dma_done</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classsprite.html#a582f470277642302aea683f055ea198c">dma_state</a> == <a class="code" href="classsprite.html#a45534c884a5e36be30c2cd54533f611a">DMA_POS_CTL</a>) <span class="vhdlkeyword">begin</span>
<a name="l01918"></a>01918             <a class="code" href="classsprite.html#a582f470277642302aea683f055ea198c">dma_state</a> &lt;= <a class="code" href="classsprite.html#af0db35fb5db0761267f5490c0d76a995">DMA_DAT</a>;
<a name="l01919"></a>01919             <a class="code" href="classsprite.html#a413d2813585f09309d46232998c19f85">dma_req</a> &lt;= <span class="vhdllogic">1&#39;b0</span>;
<a name="l01920"></a>01920             <span class="vhdlkeyword">if</span>(<a class="code" href="classsprite.html#aa11d05827dd9e8f8123b4faf407f6076">dma_address_bit1</a> == <span class="vhdllogic">1&#39;b0</span>) <a class="code" href="classsprite.html#a03dc8a200330efd6ef3dce689b968828">dma_address_full</a> &lt;= <a class="code" href="classsprite.html#a03dc8a200330efd6ef3dce689b968828">dma_address_full</a> + <span class="vhdllogic">19&#39;d4</span>;
<a name="l01921"></a>01921             <a class="code" href="classsprite.html#aa11d05827dd9e8f8123b4faf407f6076">dma_address_bit1</a> &lt;= <span class="vhdllogic">1&#39;b0</span>;
<a name="l01922"></a>01922         <span class="vhdlkeyword">end</span>
<a name="l01923"></a>01923         <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classsprite.html#ad4163c8d864a8e3b9cb38892bd2f8335">line_start</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classsprite.html#a582f470277642302aea683f055ea198c">dma_state</a> == <a class="code" href="classsprite.html#af0db35fb5db0761267f5490c0d76a995">DMA_DAT</a> &amp;&amp; <a class="code" href="classsprite.html#aa539ca91a61a30ad96248f5cf99148e2">line_number</a> &gt;= <a class="code" href="classsprite.html#a60948bd2dd4f8b5decc21bde690ca4e7">vert_start</a> &amp;&amp; <a class="code" href="classsprite.html#aa539ca91a61a30ad96248f5cf99148e2">line_number</a> &lt; <a class="code" href="classsprite.html#a86c465fbdcb67670c605fb5260e41e80">vert_end</a>) <span class="vhdlkeyword">begin</span>
<a name="l01924"></a>01924             <a class="code" href="classsprite.html#a413d2813585f09309d46232998c19f85">dma_req</a> &lt;= <span class="vhdllogic">1&#39;b1</span>;
<a name="l01925"></a>01925         <span class="vhdlkeyword">end</span>
<a name="l01926"></a>01926         <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classsprite.html#ad220124fb82cdfac42b27e643f0ed125">dma_done</a> == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classsprite.html#a582f470277642302aea683f055ea198c">dma_state</a> == <a class="code" href="classsprite.html#af0db35fb5db0761267f5490c0d76a995">DMA_DAT</a>) <span class="vhdlkeyword">begin</span>
<a name="l01927"></a>01927             <a class="code" href="classsprite.html#a413d2813585f09309d46232998c19f85">dma_req</a> &lt;= <span class="vhdllogic">1&#39;b0</span>;
<a name="l01928"></a>01928             <span class="vhdlkeyword">if</span>(<a class="code" href="classsprite.html#aa11d05827dd9e8f8123b4faf407f6076">dma_address_bit1</a> == <span class="vhdllogic">1&#39;b0</span>) <a class="code" href="classsprite.html#a03dc8a200330efd6ef3dce689b968828">dma_address_full</a> &lt;= <a class="code" href="classsprite.html#a03dc8a200330efd6ef3dce689b968828">dma_address_full</a> + <span class="vhdllogic">19&#39;d4</span>;
<a name="l01929"></a>01929             <a class="code" href="classsprite.html#aa11d05827dd9e8f8123b4faf407f6076">dma_address_bit1</a> &lt;= <span class="vhdllogic">1&#39;b0</span>;
<a name="l01930"></a>01930         <span class="vhdlkeyword">end</span>
<a name="l01931"></a>01931         
<a name="l01932"></a>01932         <span class="vhdlkeyword">if</span>(<a class="code" href="classsprite.html#a8a85308a8c349a19336877c957b55f99">write_ena</a> == <span class="vhdllogic">1&#39;b1</span>) <span class="vhdlkeyword">begin</span>
<a name="l01933"></a>01933             <span class="vhdlkeyword">if</span>(<a class="code" href="classsprite.html#ad33d6e9590fc9b73d5a92aab8bd68276">write_address</a> == <span class="vhdllogic">2&#39;d0</span> &amp;&amp; <a class="code" href="classsprite.html#a5a9c4d9a17ab708fa90ddeb53ea0dd11">write_sel</a>[<span class="vhdllogic">0</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classsprite.html#a03dc8a200330efd6ef3dce689b968828">dma_address_full</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>] &lt;= <a class="code" href="classsprite.html#af1edfe6e9df5bd185928d5aff0e07638">write_data</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>];
<a name="l01934"></a>01934             <span class="vhdlkeyword">if</span>(<a class="code" href="classsprite.html#ad33d6e9590fc9b73d5a92aab8bd68276">write_address</a> == <span class="vhdllogic">2&#39;d0</span> &amp;&amp; <a class="code" href="classsprite.html#a5a9c4d9a17ab708fa90ddeb53ea0dd11">write_sel</a>[<span class="vhdllogic">1</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classsprite.html#a03dc8a200330efd6ef3dce689b968828">dma_address_full</a>[<span class="vhdllogic">15</span>:<span class="vhdllogic">8</span>] &lt;= <a class="code" href="classsprite.html#af1edfe6e9df5bd185928d5aff0e07638">write_data</a>[<span class="vhdllogic">15</span>:<span class="vhdllogic">8</span>];
<a name="l01935"></a>01935             <span class="vhdlkeyword">if</span>(<a class="code" href="classsprite.html#ad33d6e9590fc9b73d5a92aab8bd68276">write_address</a> == <span class="vhdllogic">2&#39;d0</span> &amp;&amp; <a class="code" href="classsprite.html#a5a9c4d9a17ab708fa90ddeb53ea0dd11">write_sel</a>[<span class="vhdllogic">2</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classsprite.html#a03dc8a200330efd6ef3dce689b968828">dma_address_full</a>[<span class="vhdllogic">23</span>:<span class="vhdllogic">16</span>] &lt;= <a class="code" href="classsprite.html#af1edfe6e9df5bd185928d5aff0e07638">write_data</a>[<span class="vhdllogic">23</span>:<span class="vhdllogic">16</span>];
<a name="l01936"></a>01936             <span class="vhdlkeyword">if</span>(<a class="code" href="classsprite.html#ad33d6e9590fc9b73d5a92aab8bd68276">write_address</a> == <span class="vhdllogic">2&#39;d0</span> &amp;&amp; <a class="code" href="classsprite.html#a5a9c4d9a17ab708fa90ddeb53ea0dd11">write_sel</a>[<span class="vhdllogic">3</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classsprite.html#a03dc8a200330efd6ef3dce689b968828">dma_address_full</a>[<span class="vhdllogic">31</span>:<span class="vhdllogic">24</span>] &lt;= <a class="code" href="classsprite.html#af1edfe6e9df5bd185928d5aff0e07638">write_data</a>[<span class="vhdllogic">31</span>:<span class="vhdllogic">24</span>];
<a name="l01937"></a>01937             <span class="vhdlkeyword">if</span>(<a class="code" href="classsprite.html#ad33d6e9590fc9b73d5a92aab8bd68276">write_address</a> == <span class="vhdllogic">2&#39;d1</span> &amp;&amp; <a class="code" href="classsprite.html#a5a9c4d9a17ab708fa90ddeb53ea0dd11">write_sel</a>[<span class="vhdllogic">0</span>] == <span class="vhdllogic">1&#39;b1</span>) 
<a name="l01938"></a>01938                 { <a class="code" href="classsprite.html#ae1d6cbb444aa4080db1b37c6fd04617e">attached</a>, <a class="code" href="classsprite.html#a60948bd2dd4f8b5decc21bde690ca4e7">vert_start</a>[<span class="vhdllogic">8</span>], <a class="code" href="classsprite.html#a86c465fbdcb67670c605fb5260e41e80">vert_end</a>[<span class="vhdllogic">8</span>], <a class="code" href="classsprite.html#a0fc24ecdae5ac4b8cc93aae74c6eb328">horiz_start</a>[<span class="vhdllogic">0</span>]} &lt;= { <a class="code" href="classsprite.html#af1edfe6e9df5bd185928d5aff0e07638">write_data</a>[<span class="vhdllogic">7</span>], <a class="code" href="classsprite.html#af1edfe6e9df5bd185928d5aff0e07638">write_data</a>[<span class="vhdllogic">2</span>:<span class="vhdllogic">0</span>] };
<a name="l01939"></a>01939             <span class="vhdlkeyword">if</span>(<a class="code" href="classsprite.html#ad33d6e9590fc9b73d5a92aab8bd68276">write_address</a> == <span class="vhdllogic">2&#39;d1</span> &amp;&amp; <a class="code" href="classsprite.html#a5a9c4d9a17ab708fa90ddeb53ea0dd11">write_sel</a>[<span class="vhdllogic">1</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classsprite.html#a86c465fbdcb67670c605fb5260e41e80">vert_end</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>] &lt;= <a class="code" href="classsprite.html#af1edfe6e9df5bd185928d5aff0e07638">write_data</a>[<span class="vhdllogic">15</span>:<span class="vhdllogic">8</span>];
<a name="l01940"></a>01940             <span class="vhdlkeyword">if</span>(<a class="code" href="classsprite.html#ad33d6e9590fc9b73d5a92aab8bd68276">write_address</a> == <span class="vhdllogic">2&#39;d1</span> &amp;&amp; <a class="code" href="classsprite.html#a5a9c4d9a17ab708fa90ddeb53ea0dd11">write_sel</a>[<span class="vhdllogic">2</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classsprite.html#a0fc24ecdae5ac4b8cc93aae74c6eb328">horiz_start</a>[<span class="vhdllogic">8</span>:<span class="vhdllogic">1</span>] &lt;= <a class="code" href="classsprite.html#af1edfe6e9df5bd185928d5aff0e07638">write_data</a>[<span class="vhdllogic">23</span>:<span class="vhdllogic">16</span>];
<a name="l01941"></a>01941             <span class="vhdlkeyword">if</span>(<a class="code" href="classsprite.html#ad33d6e9590fc9b73d5a92aab8bd68276">write_address</a> == <span class="vhdllogic">2&#39;d1</span> &amp;&amp; <a class="code" href="classsprite.html#a5a9c4d9a17ab708fa90ddeb53ea0dd11">write_sel</a>[<span class="vhdllogic">3</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classsprite.html#a60948bd2dd4f8b5decc21bde690ca4e7">vert_start</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>] &lt;= <a class="code" href="classsprite.html#af1edfe6e9df5bd185928d5aff0e07638">write_data</a>[<span class="vhdllogic">31</span>:<span class="vhdllogic">24</span>];
<a name="l01942"></a>01942             <span class="vhdlkeyword">if</span>(<a class="code" href="classsprite.html#ad33d6e9590fc9b73d5a92aab8bd68276">write_address</a> == <span class="vhdllogic">2&#39;d2</span> &amp;&amp; <a class="code" href="classsprite.html#a5a9c4d9a17ab708fa90ddeb53ea0dd11">write_sel</a>[<span class="vhdllogic">0</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classsprite.html#ab80ce7b2086868a61f9192bceb818160">data</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>] &lt;= <a class="code" href="classsprite.html#af1edfe6e9df5bd185928d5aff0e07638">write_data</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>];
<a name="l01943"></a>01943             <span class="vhdlkeyword">if</span>(<a class="code" href="classsprite.html#ad33d6e9590fc9b73d5a92aab8bd68276">write_address</a> == <span class="vhdllogic">2&#39;d2</span> &amp;&amp; <a class="code" href="classsprite.html#a5a9c4d9a17ab708fa90ddeb53ea0dd11">write_sel</a>[<span class="vhdllogic">1</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classsprite.html#ab80ce7b2086868a61f9192bceb818160">data</a>[<span class="vhdllogic">15</span>:<span class="vhdllogic">8</span>] &lt;= <a class="code" href="classsprite.html#af1edfe6e9df5bd185928d5aff0e07638">write_data</a>[<span class="vhdllogic">15</span>:<span class="vhdllogic">8</span>];
<a name="l01944"></a>01944             <span class="vhdlkeyword">if</span>(<a class="code" href="classsprite.html#ad33d6e9590fc9b73d5a92aab8bd68276">write_address</a> == <span class="vhdllogic">2&#39;d2</span> &amp;&amp; <a class="code" href="classsprite.html#a5a9c4d9a17ab708fa90ddeb53ea0dd11">write_sel</a>[<span class="vhdllogic">2</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classsprite.html#ab80ce7b2086868a61f9192bceb818160">data</a>[<span class="vhdllogic">23</span>:<span class="vhdllogic">16</span>] &lt;= <a class="code" href="classsprite.html#af1edfe6e9df5bd185928d5aff0e07638">write_data</a>[<span class="vhdllogic">23</span>:<span class="vhdllogic">16</span>];
<a name="l01945"></a>01945             <span class="vhdlkeyword">if</span>(<a class="code" href="classsprite.html#ad33d6e9590fc9b73d5a92aab8bd68276">write_address</a> == <span class="vhdllogic">2&#39;d2</span> &amp;&amp; <a class="code" href="classsprite.html#a5a9c4d9a17ab708fa90ddeb53ea0dd11">write_sel</a>[<span class="vhdllogic">3</span>] == <span class="vhdllogic">1&#39;b1</span>) <a class="code" href="classsprite.html#ab80ce7b2086868a61f9192bceb818160">data</a>[<span class="vhdllogic">31</span>:<span class="vhdllogic">24</span>] &lt;= <a class="code" href="classsprite.html#af1edfe6e9df5bd185928d5aff0e07638">write_data</a>[<span class="vhdllogic">31</span>:<span class="vhdllogic">24</span>];
<a name="l01946"></a>01946         <span class="vhdlkeyword">end</span>
<a name="l01947"></a>01947         <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classsprite.html#ad220124fb82cdfac42b27e643f0ed125">dma_done</a> == <span class="vhdllogic">1&#39;b1</span>) <span class="vhdlkeyword">begin</span> 
<a name="l01948"></a>01948             <span class="vhdlkeyword">if</span>(<a class="code" href="classsprite.html#a582f470277642302aea683f055ea198c">dma_state</a> == <a class="code" href="classsprite.html#a45534c884a5e36be30c2cd54533f611a">DMA_POS_CTL</a>) <span class="vhdlkeyword">begin</span>
<a name="l01949"></a>01949                 <span class="vhdlkeyword">if</span>(<a class="code" href="classsprite.html#a03dc8a200330efd6ef3dce689b968828">dma_address_full</a>[<span class="vhdllogic">1</span>] == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classsprite.html#aa11d05827dd9e8f8123b4faf407f6076">dma_address_bit1</a> == <span class="vhdllogic">1&#39;b0</span>) <span class="vhdlkeyword">begin</span>
<a name="l01950"></a>01950                     <a class="code" href="classsprite.html#a0fc24ecdae5ac4b8cc93aae74c6eb328">horiz_start</a>[<span class="vhdllogic">8</span>:<span class="vhdllogic">1</span>] &lt;= <a class="code" href="classsprite.html#a3bf0ca2d8608176da76b9f5c0484176b">dma_data</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>];
<a name="l01951"></a>01951                     <a class="code" href="classsprite.html#a60948bd2dd4f8b5decc21bde690ca4e7">vert_start</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>] &lt;= <a class="code" href="classsprite.html#a3bf0ca2d8608176da76b9f5c0484176b">dma_data</a>[<span class="vhdllogic">15</span>:<span class="vhdllogic">8</span>];
<a name="l01952"></a>01952                 <span class="vhdlkeyword">end</span>
<a name="l01953"></a>01953                 <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classsprite.html#a03dc8a200330efd6ef3dce689b968828">dma_address_full</a>[<span class="vhdllogic">1</span>] == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classsprite.html#aa11d05827dd9e8f8123b4faf407f6076">dma_address_bit1</a> == <span class="vhdllogic">1&#39;b1</span>) <span class="vhdlkeyword">begin</span>
<a name="l01954"></a>01954                     { <a class="code" href="classsprite.html#ae1d6cbb444aa4080db1b37c6fd04617e">attached</a>, <a class="code" href="classsprite.html#a60948bd2dd4f8b5decc21bde690ca4e7">vert_start</a>[<span class="vhdllogic">8</span>], <a class="code" href="classsprite.html#a86c465fbdcb67670c605fb5260e41e80">vert_end</a>[<span class="vhdllogic">8</span>], <a class="code" href="classsprite.html#a0fc24ecdae5ac4b8cc93aae74c6eb328">horiz_start</a>[<span class="vhdllogic">0</span>]} &lt;= { <a class="code" href="classsprite.html#a3bf0ca2d8608176da76b9f5c0484176b">dma_data</a>[<span class="vhdllogic">23</span>], <a class="code" href="classsprite.html#a3bf0ca2d8608176da76b9f5c0484176b">dma_data</a>[<span class="vhdllogic">18</span>:<span class="vhdllogic">16</span>] };
<a name="l01955"></a>01955                     <a class="code" href="classsprite.html#a86c465fbdcb67670c605fb5260e41e80">vert_end</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>] &lt;= <a class="code" href="classsprite.html#a3bf0ca2d8608176da76b9f5c0484176b">dma_data</a>[<span class="vhdllogic">31</span>:<span class="vhdllogic">24</span>];
<a name="l01956"></a>01956                 <span class="vhdlkeyword">end</span>
<a name="l01957"></a>01957                 <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">begin</span>
<a name="l01958"></a>01958                     { <a class="code" href="classsprite.html#ae1d6cbb444aa4080db1b37c6fd04617e">attached</a>, <a class="code" href="classsprite.html#a60948bd2dd4f8b5decc21bde690ca4e7">vert_start</a>[<span class="vhdllogic">8</span>], <a class="code" href="classsprite.html#a86c465fbdcb67670c605fb5260e41e80">vert_end</a>[<span class="vhdllogic">8</span>], <a class="code" href="classsprite.html#a0fc24ecdae5ac4b8cc93aae74c6eb328">horiz_start</a>[<span class="vhdllogic">0</span>]} &lt;= { <a class="code" href="classsprite.html#a3bf0ca2d8608176da76b9f5c0484176b">dma_data</a>[<span class="vhdllogic">7</span>], <a class="code" href="classsprite.html#a3bf0ca2d8608176da76b9f5c0484176b">dma_data</a>[<span class="vhdllogic">2</span>:<span class="vhdllogic">0</span>] };
<a name="l01959"></a>01959                     <a class="code" href="classsprite.html#a86c465fbdcb67670c605fb5260e41e80">vert_end</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>]       &lt;= <a class="code" href="classsprite.html#a3bf0ca2d8608176da76b9f5c0484176b">dma_data</a>[<span class="vhdllogic">15</span>:<span class="vhdllogic">8</span>];
<a name="l01960"></a>01960                     <a class="code" href="classsprite.html#a0fc24ecdae5ac4b8cc93aae74c6eb328">horiz_start</a>[<span class="vhdllogic">8</span>:<span class="vhdllogic">1</span>]    &lt;= <a class="code" href="classsprite.html#a3bf0ca2d8608176da76b9f5c0484176b">dma_data</a>[<span class="vhdllogic">23</span>:<span class="vhdllogic">16</span>];
<a name="l01961"></a>01961                     <a class="code" href="classsprite.html#a60948bd2dd4f8b5decc21bde690ca4e7">vert_start</a>[<span class="vhdllogic">7</span>:<span class="vhdllogic">0</span>]     &lt;= <a class="code" href="classsprite.html#a3bf0ca2d8608176da76b9f5c0484176b">dma_data</a>[<span class="vhdllogic">31</span>:<span class="vhdllogic">24</span>]; <span class="keyword">// vert_end*256 + horiz_start*2*655536 + vert_start*16777216</span>
<a name="l01962"></a>01962                 <span class="vhdlkeyword">end</span>
<a name="l01963"></a>01963             <span class="vhdlkeyword">end</span>
<a name="l01964"></a>01964             <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classsprite.html#a582f470277642302aea683f055ea198c">dma_state</a> == <a class="code" href="classsprite.html#af0db35fb5db0761267f5490c0d76a995">DMA_DAT</a>) <span class="vhdlkeyword">begin</span>
<a name="l01965"></a>01965                 <span class="vhdlkeyword">if</span>(<a class="code" href="classsprite.html#a03dc8a200330efd6ef3dce689b968828">dma_address_full</a>[<span class="vhdllogic">1</span>] == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classsprite.html#aa11d05827dd9e8f8123b4faf407f6076">dma_address_bit1</a> == <span class="vhdllogic">1&#39;b0</span>) <span class="vhdlkeyword">begin</span>
<a name="l01966"></a>01966                     <a class="code" href="classsprite.html#ab80ce7b2086868a61f9192bceb818160">data</a>[<span class="vhdllogic">31</span>:<span class="vhdllogic">16</span>] &lt;= <a class="code" href="classsprite.html#a3bf0ca2d8608176da76b9f5c0484176b">dma_data</a>[<span class="vhdllogic">15</span>:<span class="vhdllogic">0</span>];
<a name="l01967"></a>01967                 <span class="vhdlkeyword">end</span>
<a name="l01968"></a>01968                 <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">if</span>(<a class="code" href="classsprite.html#a03dc8a200330efd6ef3dce689b968828">dma_address_full</a>[<span class="vhdllogic">1</span>] == <span class="vhdllogic">1&#39;b1</span> &amp;&amp; <a class="code" href="classsprite.html#aa11d05827dd9e8f8123b4faf407f6076">dma_address_bit1</a> == <span class="vhdllogic">1&#39;b1</span>) <span class="vhdlkeyword">begin</span>
<a name="l01969"></a>01969                     <a class="code" href="classsprite.html#ab80ce7b2086868a61f9192bceb818160">data</a>[<span class="vhdllogic">15</span>:<span class="vhdllogic">0</span>] &lt;= <a class="code" href="classsprite.html#a3bf0ca2d8608176da76b9f5c0484176b">dma_data</a>[<span class="vhdllogic">31</span>:<span class="vhdllogic">16</span>];
<a name="l01970"></a>01970                 <span class="vhdlkeyword">end</span>
<a name="l01971"></a>01971                 <span class="vhdlkeyword">else</span> <span class="vhdlkeyword">begin</span>
<a name="l01972"></a>01972                     <a class="code" href="classsprite.html#ab80ce7b2086868a61f9192bceb818160">data</a> &lt;= <a class="code" href="classsprite.html#a3bf0ca2d8608176da76b9f5c0484176b">dma_data</a>;
<a name="l01973"></a>01973                 <span class="vhdlkeyword">end</span>
<a name="l01974"></a>01974             <span class="vhdlkeyword">end</span>
<a name="l01975"></a>01975         <span class="vhdlkeyword">end</span>
<a name="l01976"></a>01976     <span class="vhdlkeyword">end</span>
<a name="l01977"></a>01977 <span class="vhdlkeyword">end</span>
<a name="l01978"></a>01978 
<a name="l01979"></a>01979 <span class="vhdlkeyword">endmodule</span>
<a name="l01980"></a>01980 
</pre></div></div>
</div>
<hr class="footer"/><address class="footer"><small>Generated on Mon Dec 20 2010 21:20:19 for aoOCS by&#160;
<a href="http://www.doxygen.org/index.html">
<img class="footer" src="doxygen.png" alt="doxygen"/></a> 1.7.2 </small></address>
</body>
</html>
 

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.