OpenCores
URL https://opencores.org/ocsvn/astron_r2sdf_fft/astron_r2sdf_fft/trunk

Subversion Repositories astron_r2sdf_fft

[/] [astron_r2sdf_fft/] [trunk/] [twiddlesPkg_wb.vhd] - Rev 2

Compare with Previous | Blame | View Log

------------------------------------- 
--FILE GENERATED BY TWIDDLE GENERATOR 
--DO NOT EDIT THIS FILE !!! 
-------------------------------------
--Author	:R.T.Rajan      
--Date    :09-Nov-2011
--N       :17 # of FFT points 
--B       :16 # of twiddle bits 
--P       :4   over clocking rate 
-------------------------------------
 
 
Library ieee; 
use ieee.std_logic_1164.all; 
use ieee.numeric_std.all; 
 
 package twiddlesPkg_wb is 
 constant copyRightNotice: string 
 := "Copyright 2009-2011 , ASTRON. All rights reserved."; 
 
   subtype wTyp is std_logic_vector(15 downto 0); 
   type wRowTyp is array( 1 to		17 ) of wTyp; 
   type wMapTyp is array( integer range 0 to	31, integer range 	4	downto 1) of natural; 
 
   constant wRe: wRowTyp := 
  ( 
      b"0111111111111111",
      b"0111110110001001",
      b"0111011001000001",
      b"0110101001101101",
      b"0101101010000010",
      b"0100011100011100",
      b"0011000011111011",
      b"0001100011111001",
      b"0000000000000000",
      b"1110011100000110",
      b"1100111100000100",
      b"1011100011100011",
      b"1010010101111101",
      b"1001010110010010",
      b"1000100110111110",
      b"1000001001110110",
        b"1000000000000000"
	 ); 
 
   constant wIm: wRowTyp := 
  ( 
      b"0111111111111111",
      b"0111110110001001",
      b"0111011001000001",
      b"0110101001101101",
      b"0101101010000010",
      b"0100011100011100",
      b"0011000011111011",
      b"0001100011111001",
      b"0000000000000000",
      b"1110011100000110",
      b"1100111100000100",
      b"1011100011100011",
      b"1010010101111101",
      b"1001010110010010",
      b"1000100110111110",
      b"1000001001110110",
        b"1000001001110110"
	 ); 
 
   constant wMapRe: wMapTyp := 
  ( 
       (1,1,1,1),
       (1,2,3,4),
       (1,3,5,7),
       (1,4,7,10),
       (1,5,9,13),
       (1,6,11,16),
       (1,7,13,15),
       (1,8,15,12),
       (1,9,17,9),
       (1,10,15,6),
       (1,11,13,3),
       (1,12,11,2),
       (1,13,9,5),
       (1,14,7,8),
       (1,15,5,11),
       (1,16,3,14),
       (1,17,1,17),
       (1,16,3,14),
       (1,15,5,11),
       (1,14,7,8),
       (1,13,9,5),
       (1,12,11,2),
       (1,11,13,3),
       (1,10,15,6),
       (1,9,17,9),
       (1,8,15,12),
       (1,7,13,15),
       (1,6,11,16),
       (1,5,9,13),
       (1,4,7,10),
       (1,3,5,7),
       (1,2,3,4)
   ); 
 
   constant wMapIm: wMapTyp := 
  ( 
       (9,9,9,9),
       (9,8,7,6),
       (9,7,5,3),
       (9,6,3,2),
       (9,5,1,5),
       (9,4,3,8),
       (9,3,5,11),
       (9,2,7,14),
       (9,1,9,17),
       (9,2,11,14),
       (9,3,13,11),
       (9,4,15,8),
       (9,5,17,5),
       (9,6,15,2),
       (9,7,13,3),
       (9,8,11,6),
       (9,9,9,9),
       (9,10,7,12),
       (9,11,5,15),
       (9,12,3,16),
       (9,13,1,13),
       (9,14,3,10),
       (9,15,5,7),
       (9,16,7,4),
       (9,17,9,1),
       (9,16,11,4),
       (9,15,13,7),
       (9,14,15,10),
       (9,13,17,13),
       (9,12,15,16),
       (9,11,13,15),
       (9,10,11,12)
   ); 
 
 end package twiddlesPkg_wb; 
 

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.