OpenCores
URL https://opencores.org/ocsvn/audio/audio/trunk

Subversion Repositories audio

[/] [audio/] [trunk/] [HD_ADPCM/] [HD_ADPCM_1Bit_Stereo_Decoder/] [simulation/] [modelsim/] [HD_ADPCM_Codec.vho] - Rev 6

Compare with Previous | Blame | View Log

-- Copyright (C) 1991-2009 Altera Corporation
-- Your use of Altera Corporation's design tools, logic functions 
-- and other software and tools, and its AMPP partner logic 
-- functions, and any output files from any of the foregoing 
-- (including device programming or simulation files), and any 
-- associated documentation or information are expressly subject 
-- to the terms and conditions of the Altera Program License 
-- Subscription Agreement, Altera MegaCore Function License 
-- Agreement, or other applicable license agreement, including, 
-- without limitation, that your use is for the sole purpose of 
-- programming logic devices manufactured by Altera and sold by 
-- Altera or its authorized distributors.  Please refer to the 
-- applicable agreement for further details.

-- VENDOR "Altera"
-- PROGRAM "Quartus II"
-- VERSION "Version 9.0 Build 132 02/25/2009 SJ Web Edition"

-- DATE "05/11/2010 23:50:09"

-- 
-- Device: Altera EP2C20F484C7 Package FBGA484
-- 

-- 
-- This VHDL file should be used for ModelSim (VHDL) only
-- 

LIBRARY IEEE, cycloneii;
USE IEEE.std_logic_1164.all;
USE cycloneii.cycloneii_components.all;

ENTITY  HD_ADPCM_Codec IS
    PORT (
        CLOCK_IN : IN std_logic;
        S_SEVEN_SEGMENT_1_OUT : OUT std_logic_vector(6 DOWNTO 0);
        S_SEVEN_SEGMENT_2_OUT : OUT std_logic_vector(6 DOWNTO 0);
        S_SEVEN_SEGMENT_3_OUT : OUT std_logic_vector(6 DOWNTO 0);
        S_SEVEN_SEGMENT_4_OUT : OUT std_logic_vector(6 DOWNTO 0);
        S_RED_LEDS_OUT : OUT std_logic_vector(9 DOWNTO 0);
        I2C_CLOCK_OUT : OUT std_logic;
        I2C_DATA_INOUT : INOUT std_logic;
        I2S_LEFT_RIGHT_CLOCK_OUT : OUT std_logic;
        I2S_CLOCK_OUT : OUT std_logic;
        I2S_DATA_INOUT : INOUT std_logic;
        I2S_CORE_CLOCK_OUT : OUT std_logic;
        SWITCH_0 : IN std_logic;
        KEY_0 : IN std_logic;
        KEY_1 : IN std_logic;
        FLASH_MEMORY_ADDRESS_OUT : OUT std_logic_vector(21 DOWNTO 0);
        FLASH_MEMORY_DATA_INOUT : INOUT std_logic_vector(7 DOWNTO 0);
        FLASH_MEMORY_nWE_OUT : OUT std_logic;
        FLASH_MEMORY_nOE_OUT : OUT std_logic;
        FLASH_MEMORY_nRESET_OUT : OUT std_logic;
        FLASH_MEMORY_nCE_OUT : OUT std_logic
        );
END HD_ADPCM_Codec;

ARCHITECTURE structure OF HD_ADPCM_Codec IS
SIGNAL gnd : std_logic := '0';
SIGNAL vcc : std_logic := '1';
SIGNAL devoe : std_logic := '1';
SIGNAL devclrn : std_logic := '1';
SIGNAL devpor : std_logic := '1';
SIGNAL ww_devoe : std_logic;
SIGNAL ww_devclrn : std_logic;
SIGNAL ww_devpor : std_logic;
SIGNAL ww_CLOCK_IN : std_logic;
SIGNAL ww_S_SEVEN_SEGMENT_1_OUT : std_logic_vector(6 DOWNTO 0);
SIGNAL ww_S_SEVEN_SEGMENT_2_OUT : std_logic_vector(6 DOWNTO 0);
SIGNAL ww_S_SEVEN_SEGMENT_3_OUT : std_logic_vector(6 DOWNTO 0);
SIGNAL ww_S_SEVEN_SEGMENT_4_OUT : std_logic_vector(6 DOWNTO 0);
SIGNAL ww_S_RED_LEDS_OUT : std_logic_vector(9 DOWNTO 0);
SIGNAL ww_I2C_CLOCK_OUT : std_logic;
SIGNAL ww_I2S_LEFT_RIGHT_CLOCK_OUT : std_logic;
SIGNAL ww_I2S_CLOCK_OUT : std_logic;
SIGNAL ww_I2S_CORE_CLOCK_OUT : std_logic;
SIGNAL ww_SWITCH_0 : std_logic;
SIGNAL ww_KEY_0 : std_logic;
SIGNAL ww_KEY_1 : std_logic;
SIGNAL ww_FLASH_MEMORY_ADDRESS_OUT : std_logic_vector(21 DOWNTO 0);
SIGNAL ww_FLASH_MEMORY_nWE_OUT : std_logic;
SIGNAL ww_FLASH_MEMORY_nOE_OUT : std_logic;
SIGNAL ww_FLASH_MEMORY_nRESET_OUT : std_logic;
SIGNAL ww_FLASH_MEMORY_nCE_OUT : std_logic;
SIGNAL \u5|Mult0|auto_generated|mac_out2_DATAA_bus\ : std_logic_vector(35 DOWNTO 0);
SIGNAL \u5|Mult0|auto_generated|mac_out2_DATAOUT_bus\ : std_logic_vector(35 DOWNTO 0);
SIGNAL \u5|Mult0|auto_generated|mac_mult1_DATAA_bus\ : std_logic_vector(17 DOWNTO 0);
SIGNAL \u5|Mult0|auto_generated|mac_mult1_DATAB_bus\ : std_logic_vector(17 DOWNTO 0);
SIGNAL \u5|Mult0|auto_generated|mac_mult1_DATAOUT_bus\ : std_logic_vector(35 DOWNTO 0);
SIGNAL \u6|Mult0|auto_generated|mac_out2_DATAA_bus\ : std_logic_vector(35 DOWNTO 0);
SIGNAL \u6|Mult0|auto_generated|mac_out2_DATAOUT_bus\ : std_logic_vector(35 DOWNTO 0);
SIGNAL \u6|Mult0|auto_generated|mac_mult1_DATAA_bus\ : std_logic_vector(17 DOWNTO 0);
SIGNAL \u6|Mult0|auto_generated|mac_mult1_DATAB_bus\ : std_logic_vector(17 DOWNTO 0);
SIGNAL \u6|Mult0|auto_generated|mac_mult1_DATAOUT_bus\ : std_logic_vector(35 DOWNTO 0);
SIGNAL \u3|I2S_PCM_DATA_ACCESS_OUT~clkctrl_INCLK_bus\ : std_logic_vector(3 DOWNTO 0);
SIGNAL \u4|Flash_Memory_Clock~clkctrl_INCLK_bus\ : std_logic_vector(3 DOWNTO 0);
SIGNAL \u3|I2S_Clock~clkctrl_INCLK_bus\ : std_logic_vector(3 DOWNTO 0);
SIGNAL \CLOCK_IN~clkctrl_INCLK_bus\ : std_logic_vector(3 DOWNTO 0);
SIGNAL \u5|Add8~0_combout\ : std_logic;
SIGNAL \u5|Add9~0_combout\ : std_logic;
SIGNAL \u5|Add10~8_combout\ : std_logic;
SIGNAL \u5|Add10~10_combout\ : std_logic;
SIGNAL \u3|Add1~2_combout\ : std_logic;
SIGNAL \u3|Add0~0_combout\ : std_logic;
SIGNAL \u3|Add0~1\ : std_logic;
SIGNAL \u3|Add0~2_combout\ : std_logic;
SIGNAL \u3|Add0~3\ : std_logic;
SIGNAL \u3|Add0~4_combout\ : std_logic;
SIGNAL \u3|Add0~5\ : std_logic;
SIGNAL \u3|Add0~6_combout\ : std_logic;
SIGNAL \u3|Add0~7\ : std_logic;
SIGNAL \u3|Add0~8_combout\ : std_logic;
SIGNAL \u3|Add0~9\ : std_logic;
SIGNAL \u3|Add0~10_combout\ : std_logic;
SIGNAL \u3|Add0~11\ : std_logic;
SIGNAL \u3|Add0~12_combout\ : std_logic;
SIGNAL \u3|Add0~13\ : std_logic;
SIGNAL \u3|Add0~14_combout\ : std_logic;
SIGNAL \u3|Add0~15\ : std_logic;
SIGNAL \u3|Add0~16_combout\ : std_logic;
SIGNAL \u3|Add0~17\ : std_logic;
SIGNAL \u3|Add0~18_combout\ : std_logic;
SIGNAL \u3|Add0~19\ : std_logic;
SIGNAL \u3|Add0~20_combout\ : std_logic;
SIGNAL \u3|Add0~21\ : std_logic;
SIGNAL \u3|Add0~22_combout\ : std_logic;
SIGNAL \u3|Add0~23\ : std_logic;
SIGNAL \u3|Add0~24_combout\ : std_logic;
SIGNAL \u3|Add0~25\ : std_logic;
SIGNAL \u3|Add0~26_combout\ : std_logic;
SIGNAL \u3|Add0~27\ : std_logic;
SIGNAL \u3|Add0~28_combout\ : std_logic;
SIGNAL \u3|Add0~29\ : std_logic;
SIGNAL \u3|Add0~30_combout\ : std_logic;
SIGNAL \u3|Add0~31\ : std_logic;
SIGNAL \u3|Add0~32_combout\ : std_logic;
SIGNAL \u3|Add0~33\ : std_logic;
SIGNAL \u3|Add0~34_combout\ : std_logic;
SIGNAL \u3|Add0~35\ : std_logic;
SIGNAL \u3|Add0~36_combout\ : std_logic;
SIGNAL \u3|Add0~37\ : std_logic;
SIGNAL \u3|Add0~38_combout\ : std_logic;
SIGNAL \u3|Add0~39\ : std_logic;
SIGNAL \u3|Add0~40_combout\ : std_logic;
SIGNAL \u3|Add0~41\ : std_logic;
SIGNAL \u3|Add0~42_combout\ : std_logic;
SIGNAL \u3|Add0~43\ : std_logic;
SIGNAL \u3|Add0~44_combout\ : std_logic;
SIGNAL \u3|Add0~45\ : std_logic;
SIGNAL \u3|Add0~46_combout\ : std_logic;
SIGNAL \u3|Add0~47\ : std_logic;
SIGNAL \u3|Add0~48_combout\ : std_logic;
SIGNAL \u5|Add15~0_combout\ : std_logic;
SIGNAL \u5|Add14~0_combout\ : std_logic;
SIGNAL \u5|Add13~0_combout\ : std_logic;
SIGNAL \u5|Add11~0_combout\ : std_logic;
SIGNAL \u5|Add12~16\ : std_logic;
SIGNAL \u5|Add12~22_combout\ : std_logic;
SIGNAL \u5|Add13~2_combout\ : std_logic;
SIGNAL \u5|Add13~8_combout\ : std_logic;
SIGNAL \u5|Add13~12_combout\ : std_logic;
SIGNAL \u5|Add13~17\ : std_logic;
SIGNAL \u5|Add13~18_combout\ : std_logic;
SIGNAL \u5|Add14~3_combout\ : std_logic;
SIGNAL \u5|Add15~2_combout\ : std_logic;
SIGNAL \u5|Add16~5_combout\ : std_logic;
SIGNAL \u5|Add16~9_combout\ : std_logic;
SIGNAL \u5|Add16~21_combout\ : std_logic;
SIGNAL \u5|Add17~6_combout\ : std_logic;
SIGNAL \u5|Add18~6_combout\ : std_logic;
SIGNAL \u5|Add18~8_combout\ : std_logic;
SIGNAL \u5|Add18~18_combout\ : std_logic;
SIGNAL \u5|Add19~0_combout\ : std_logic;
SIGNAL \u5|Add19~6_combout\ : std_logic;
SIGNAL \u5|Add19~14_combout\ : std_logic;
SIGNAL \u5|Add19~20_combout\ : std_logic;
SIGNAL \u5|Add19~26_combout\ : std_logic;
SIGNAL \u2|Add1~2_combout\ : std_logic;
SIGNAL \u2|Add1~16_combout\ : std_logic;
SIGNAL \u2|Add1~30_combout\ : std_logic;
SIGNAL \u2|Add1~34_combout\ : std_logic;
SIGNAL \u2|Add1~36_combout\ : std_logic;
SIGNAL \u2|Add1~40_combout\ : std_logic;
SIGNAL \u2|Add1~47\ : std_logic;
SIGNAL \u2|Add1~48_combout\ : std_logic;
SIGNAL \u4|Add0~2_combout\ : std_logic;
SIGNAL \u4|Add0~8_combout\ : std_logic;
SIGNAL \u4|Add0~12_combout\ : std_logic;
SIGNAL \u4|Add0~34_combout\ : std_logic;
SIGNAL \u4|Add0~44_combout\ : std_logic;
SIGNAL \u4|Add0~47\ : std_logic;
SIGNAL \u4|Add0~48_combout\ : std_logic;
SIGNAL \Add6~2_combout\ : std_logic;
SIGNAL \Add6~4_combout\ : std_logic;
SIGNAL \Add6~12_combout\ : std_logic;
SIGNAL \Add6~30_combout\ : std_logic;
SIGNAL \Add6~34_combout\ : std_logic;
SIGNAL \Add6~40_combout\ : std_logic;
SIGNAL \u6|Add15~0_combout\ : std_logic;
SIGNAL \u6|Add13~0_combout\ : std_logic;
SIGNAL \u6|Add11~0_combout\ : std_logic;
SIGNAL \u6|Add9~2_combout\ : std_logic;
SIGNAL \u6|Add11~4_combout\ : std_logic;
SIGNAL \u6|Add11~6_combout\ : std_logic;
SIGNAL \u6|Add12~9_combout\ : std_logic;
SIGNAL \u6|Add13~6_combout\ : std_logic;
SIGNAL \u6|Add14~3_combout\ : std_logic;
SIGNAL \u6|Add14~15_combout\ : std_logic;
SIGNAL \u6|Add14~17_combout\ : std_logic;
SIGNAL \u6|Add15~6_combout\ : std_logic;
SIGNAL \u6|Add15~10_combout\ : std_logic;
SIGNAL \u6|Add15~12_combout\ : std_logic;
SIGNAL \u6|Add15~16_combout\ : std_logic;
SIGNAL \u6|Add15~18_combout\ : std_logic;
SIGNAL \u6|Add15~20_combout\ : std_logic;
SIGNAL \u6|Add16~19_combout\ : std_logic;
SIGNAL \u6|Add17~14_combout\ : std_logic;
SIGNAL \u6|Add18~12_combout\ : std_logic;
SIGNAL \u6|Add18~14_combout\ : std_logic;
SIGNAL \u6|Add18~20_combout\ : std_logic;
SIGNAL \u6|Add18~22_combout\ : std_logic;
SIGNAL \u6|Add18~28_combout\ : std_logic;
SIGNAL \u5|Mult0|auto_generated|mac_out2~dataout\ : std_logic;
SIGNAL \u5|Mult0|auto_generated|mac_out2~DATAOUT1\ : std_logic;
SIGNAL \u5|Mult0|auto_generated|mac_out2~DATAOUT13\ : std_logic;
SIGNAL \u5|Mult0|auto_generated|mac_out2~0\ : std_logic;
SIGNAL \u5|Mult0|auto_generated|mac_out2~1\ : std_logic;
SIGNAL \u5|Mult0|auto_generated|mac_out2~2\ : std_logic;
SIGNAL \u5|Mult0|auto_generated|mac_out2~3\ : std_logic;
SIGNAL \u5|Mult0|auto_generated|mac_out2~4\ : std_logic;
SIGNAL \u5|Mult0|auto_generated|mac_out2~5\ : std_logic;
SIGNAL \u5|Mult0|auto_generated|mac_out2~6\ : std_logic;
SIGNAL \u5|Mult0|auto_generated|mac_out2~7\ : std_logic;
SIGNAL \u5|Mult0|auto_generated|mac_out2~8\ : std_logic;
SIGNAL \u5|Mult0|auto_generated|mac_out2~9\ : std_logic;
SIGNAL \u5|Mult0|auto_generated|mac_out2~10\ : std_logic;
SIGNAL \u5|Mult0|auto_generated|mac_out2~11\ : std_logic;
SIGNAL \u5|Mult0|auto_generated|mac_out2~12\ : std_logic;
SIGNAL \u5|Mult0|auto_generated|mac_out2~13\ : std_logic;
SIGNAL \u5|Mult0|auto_generated|mac_out2~14\ : std_logic;
SIGNAL \u5|Mult0|auto_generated|mac_out2~15\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[2]~0_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[4]~4_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[5]~6_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[6]~8_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[1]~14_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[1]~14_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[4]~4_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[6]~8_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[2]~0_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[6]~8_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[1]~14_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[6]~8_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[2]~0_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[5]~6_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[6]~8_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[6]~8_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[1]~14_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[4]~4_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[4]~4_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[6]~8_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[1]~14_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[1]~14_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[1]~14_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|op_9~21_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|op_9~23_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|op_9~27_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|op_9~29_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|op_9~35_combout\ : std_logic;
SIGNAL \u6|Add19~2_combout\ : std_logic;
SIGNAL \u6|Add19~4_combout\ : std_logic;
SIGNAL \u6|Add19~16_combout\ : std_logic;
SIGNAL \u5|ADPCM_Decoder_Step_Size_Table_Pointer[5]~_Duplicate_2_regout\ : std_logic;
SIGNAL \u5|ADPCM_Decoder_Step_Size_Table_Pointer[8]~_Duplicate_2_regout\ : std_logic;
SIGNAL \u6|Mult0|auto_generated|mac_out2~dataout\ : std_logic;
SIGNAL \u6|Mult0|auto_generated|mac_out2~DATAOUT1\ : std_logic;
SIGNAL \u6|Mult0|auto_generated|mac_out2~DATAOUT2\ : std_logic;
SIGNAL \u6|Mult0|auto_generated|mac_out2~DATAOUT3\ : std_logic;
SIGNAL \u6|Mult0|auto_generated|mac_out2~0\ : std_logic;
SIGNAL \u6|Mult0|auto_generated|mac_out2~1\ : std_logic;
SIGNAL \u6|Mult0|auto_generated|mac_out2~2\ : std_logic;
SIGNAL \u6|Mult0|auto_generated|mac_out2~3\ : std_logic;
SIGNAL \u6|Mult0|auto_generated|mac_out2~4\ : std_logic;
SIGNAL \u6|Mult0|auto_generated|mac_out2~5\ : std_logic;
SIGNAL \u6|Mult0|auto_generated|mac_out2~6\ : std_logic;
SIGNAL \u6|Mult0|auto_generated|mac_out2~7\ : std_logic;
SIGNAL \u6|Mult0|auto_generated|mac_out2~8\ : std_logic;
SIGNAL \u6|Mult0|auto_generated|mac_out2~9\ : std_logic;
SIGNAL \u6|Mult0|auto_generated|mac_out2~10\ : std_logic;
SIGNAL \u6|Mult0|auto_generated|mac_out2~11\ : std_logic;
SIGNAL \u6|Mult0|auto_generated|mac_out2~12\ : std_logic;
SIGNAL \u6|Mult0|auto_generated|mac_out2~13\ : std_logic;
SIGNAL \u6|Mult0|auto_generated|mac_out2~14\ : std_logic;
SIGNAL \u6|Mult0|auto_generated|mac_out2~15\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[3]~2_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[6]~8_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[5]~6_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[5]~6_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[3]~2_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[2]~0_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[3]~2_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[5]~6_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[6]~8_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[1]~14_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[5]~6_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[6]~8_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[4]~4_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[2]~0_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[3]~2_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[6]~8_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[1]~14_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|op_9~21_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|op_9~23_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|op_9~25_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|op_9~27_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|op_9~29_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|op_9~35_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_19_result_int[2]~1_cout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_19_result_int[3]~3_cout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_19_result_int[4]~5_cout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_19_result_int[5]~7_cout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_19_result_int[6]~9_cout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_19_result_int[7]~11_cout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_19_result_int[8]~12_combout\ : std_logic;
SIGNAL \u6|ADPCM_Decoder_Step_Size_Table_Pointer[0]~_Duplicate_2_regout\ : std_logic;
SIGNAL \u6|ADPCM_Decoder_Step_Size_Table_Pointer[1]~_Duplicate_2_regout\ : std_logic;
SIGNAL \u6|ADPCM_Decoder_Step_Size_Table_Pointer[2]~_Duplicate_2_regout\ : std_logic;
SIGNAL \u6|ADPCM_Decoder_Step_Size_Table_Pointer[4]~_Duplicate_2_regout\ : std_logic;
SIGNAL \u6|ADPCM_Decoder_Step_Size_Table_Pointer[5]~_Duplicate_2_regout\ : std_logic;
SIGNAL \Red_LEDs_Bar~27_combout\ : std_logic;
SIGNAL \Red_LEDs_Bar~28_combout\ : std_logic;
SIGNAL \u3|I2S_PCM_DATA_ACCESS_OUT~regout\ : std_logic;
SIGNAL \u2|Mux0~41_combout\ : std_logic;
SIGNAL \u3|I2S_LEFT_RIGHT_CLOCK_OUT~2_combout\ : std_logic;
SIGNAL \u3|Equal0~0_combout\ : std_logic;
SIGNAL \u3|Equal0~1_combout\ : std_logic;
SIGNAL \u3|Equal0~2_combout\ : std_logic;
SIGNAL \u3|Equal0~3_combout\ : std_logic;
SIGNAL \u3|Equal0~4_combout\ : std_logic;
SIGNAL \u3|Equal0~5_combout\ : std_logic;
SIGNAL \u3|Equal0~6_combout\ : std_logic;
SIGNAL \u3|Equal0~7_combout\ : std_logic;
SIGNAL \u5|Add7~1_combout\ : std_logic;
SIGNAL \u5|LessThan7~1_combout\ : std_logic;
SIGNAL \u5|PCM_Data~138_combout\ : std_logic;
SIGNAL \u5|Add8~14_combout\ : std_logic;
SIGNAL \u5|Add10~2_combout\ : std_logic;
SIGNAL \u5|Add10~16_combout\ : std_logic;
SIGNAL \u2|Equal0~1_combout\ : std_logic;
SIGNAL \u4|Equal0~1_combout\ : std_logic;
SIGNAL \u5|PCM_Data~140_combout\ : std_logic;
SIGNAL \u5|Add10~21_combout\ : std_logic;
SIGNAL \u5|LessThan10~0_combout\ : std_logic;
SIGNAL \u5|Add12~24_combout\ : std_logic;
SIGNAL \u5|PCM_Data~146_combout\ : std_logic;
SIGNAL \u5|LessThan12~0_combout\ : std_logic;
SIGNAL \u5|LessThan12~1_combout\ : std_logic;
SIGNAL \u5|PCM_Data~152_combout\ : std_logic;
SIGNAL \u5|Add14~2_combout\ : std_logic;
SIGNAL \u5|PCM_Data~158_combout\ : std_logic;
SIGNAL \u5|LessThan2~8_combout\ : std_logic;
SIGNAL \u5|Add16~29_combout\ : std_logic;
SIGNAL \u5|Add16~30_combout\ : std_logic;
SIGNAL \u5|PCM_Data~167_combout\ : std_logic;
SIGNAL \u5|Add16~31_combout\ : std_logic;
SIGNAL \u5|PCM_Data~169_combout\ : std_logic;
SIGNAL \u5|Add16~36_combout\ : std_logic;
SIGNAL \u5|Add16~37_combout\ : std_logic;
SIGNAL \u5|PCM_Data~176_combout\ : std_logic;
SIGNAL \u5|PCM_Data~181_combout\ : std_logic;
SIGNAL \u5|Add18~43_combout\ : std_logic;
SIGNAL \u5|Mux45~3_combout\ : std_logic;
SIGNAL \u5|Add18~45_combout\ : std_logic;
SIGNAL \u5|Mux53~0_combout\ : std_logic;
SIGNAL \u5|PCM_Data[0]~183_combout\ : std_logic;
SIGNAL \u5|PCM_Data[0]~185_combout\ : std_logic;
SIGNAL \Equal1~1_combout\ : std_logic;
SIGNAL \u2|Mux1~46_combout\ : std_logic;
SIGNAL \u2|Mux1~48_combout\ : std_logic;
SIGNAL \u2|Mux1~49_combout\ : std_logic;
SIGNAL \u2|Mux1~50_combout\ : std_logic;
SIGNAL \u2|Mux1~51_combout\ : std_logic;
SIGNAL \u2|Mux1~52_combout\ : std_logic;
SIGNAL \u2|Mux1~53_combout\ : std_logic;
SIGNAL \u2|Mux1~55_combout\ : std_logic;
SIGNAL \u2|Mux1~61_combout\ : std_logic;
SIGNAL \u3|Mux0~43_combout\ : std_logic;
SIGNAL \u3|Mux0~45_combout\ : std_logic;
SIGNAL \u3|Mux0~46_combout\ : std_logic;
SIGNAL \u5|Add2~4_combout\ : std_logic;
SIGNAL \u5|Add2~6_combout\ : std_logic;
SIGNAL \u5|Add2~8_combout\ : std_logic;
SIGNAL \u5|Add2~9_combout\ : std_logic;
SIGNAL \u5|Add2~10_combout\ : std_logic;
SIGNAL \u5|Add2~11_combout\ : std_logic;
SIGNAL \I2C_Register_Address_Stream~11_combout\ : std_logic;
SIGNAL \Mux2~0_combout\ : std_logic;
SIGNAL \I2C_Register_Address_Stream~13_combout\ : std_logic;
SIGNAL \I2C_Stream_Counter~9_combout\ : std_logic;
SIGNAL \Mux3~0_combout\ : std_logic;
SIGNAL \Mux7~0_combout\ : std_logic;
SIGNAL \u6|LessThan2~1_combout\ : std_logic;
SIGNAL \u6|Add7~0_combout\ : std_logic;
SIGNAL \u6|Add7~1_combout\ : std_logic;
SIGNAL \u6|LessThan7~1_combout\ : std_logic;
SIGNAL \u6|Add8~13_combout\ : std_logic;
SIGNAL \u6|PCM_Data~139_combout\ : std_logic;
SIGNAL \u6|Add10~21_combout\ : std_logic;
SIGNAL \u6|Add12~18_combout\ : std_logic;
SIGNAL \u6|PCM_Data~150_combout\ : std_logic;
SIGNAL \u6|Add14~25_combout\ : std_logic;
SIGNAL \u6|Add14~31_combout\ : std_logic;
SIGNAL \u6|Add14~32_combout\ : std_logic;
SIGNAL \u6|LessThan13~2_combout\ : std_logic;
SIGNAL \u6|LessThan14~0_combout\ : std_logic;
SIGNAL \u6|LessThan14~1_combout\ : std_logic;
SIGNAL \u6|PCM_Data~156_combout\ : std_logic;
SIGNAL \u6|LessThan2~7_combout\ : std_logic;
SIGNAL \u6|PCM_Data~161_combout\ : std_logic;
SIGNAL \u6|Add16~34_combout\ : std_logic;
SIGNAL \u6|LessThan15~3_combout\ : std_logic;
SIGNAL \u6|LessThan15~4_combout\ : std_logic;
SIGNAL \u6|LessThan16~0_combout\ : std_logic;
SIGNAL \u6|LessThan16~1_combout\ : std_logic;
SIGNAL \u6|PCM_Data~168_combout\ : std_logic;
SIGNAL \u6|PCM_Data~170_combout\ : std_logic;
SIGNAL \u6|Add18~32_combout\ : std_logic;
SIGNAL \u6|Add18~33_combout\ : std_logic;
SIGNAL \u6|Add18~34_combout\ : std_logic;
SIGNAL \u6|Add18~35_combout\ : std_logic;
SIGNAL \u6|LessThan17~1_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[54]~217_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[53]~218_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[52]~219_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[51]~220_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[50]~221_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[49]~214_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[59]~204_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[58]~205_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[57]~198_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[48]~215_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[48]~223_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[67]~188_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[56]~199_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[56]~207_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[65]~190_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[78]~169_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[76]~171_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[73]~166_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[86]~153_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[72]~167_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[72]~175_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[81]~158_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[94]~137_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[93]~138_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[90]~141_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[89]~134_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[102]~121_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[101]~122_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[99]~124_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[98]~125_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[97]~118_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[110]~105_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[106]~109_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[96]~119_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[96]~127_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[105]~110_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[116]~91_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[115]~92_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[113]~86_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[126]~73_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[124]~75_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[112]~87_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[112]~95_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[121]~78_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[131]~60_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[120]~71_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[120]~79_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[129]~62_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[128]~55_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[128]~63_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[137]~46_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[150]~25_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[149]~26_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[146]~29_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[136]~39_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[136]~47_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[145]~30_combout\ : std_logic;
SIGNAL \u6|Add18~44_combout\ : std_logic;
SIGNAL \u6|PCM_Data[0]~174_combout\ : std_logic;
SIGNAL \u6|Add2~4_combout\ : std_logic;
SIGNAL \u6|Add2~15_combout\ : std_logic;
SIGNAL \u6|Add2~18_combout\ : std_logic;
SIGNAL \u6|Add2~21_combout\ : std_logic;
SIGNAL \u6|Add2~24_combout\ : std_logic;
SIGNAL \u6|Add2~27_combout\ : std_logic;
SIGNAL \u6|Add2~30_combout\ : std_logic;
SIGNAL \u6|Add2~36_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[54]~217_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[53]~210_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[52]~211_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[51]~220_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[50]~213_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[49]~222_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[61]~202_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[58]~197_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[57]~198_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[69]~186_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[67]~188_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[65]~182_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[75]~172_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[73]~166_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[83]~156_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[81]~150_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[94]~137_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[93]~138_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[91]~140_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[90]~141_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[80]~151_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[80]~159_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[89]~142_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[101]~122_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[97]~118_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[110]~105_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[106]~109_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[105]~102_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[115]~92_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[113]~86_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[124]~75_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[121]~70_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[133]~58_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[130]~61_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[129]~62_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[142]~41_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[139]~44_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[138]~45_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[137]~38_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[128]~55_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[128]~63_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[150]~25_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[149]~26_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[148]~27_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[147]~28_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[146]~29_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[145]~22_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[136]~39_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[136]~47_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[145]~30_combout\ : std_logic;
SIGNAL \u5|Add0~1_combout\ : std_logic;
SIGNAL \u5|Add0~2_combout\ : std_logic;
SIGNAL \u5|ADPCM_Decoder_Step_Size_Table_Pointer[9]~42_combout\ : std_logic;
SIGNAL \u6|ADPCM_Decoder_Step_Size_Table_Pointer[4]~42_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[70]~534_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[85]~542_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[83]~544_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[91]~548_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[100]~551_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[109]~554_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[107]~556_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[118]~557_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[125]~562_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[123]~564_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[134]~565_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[133]~566_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[142]~569_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[139]~572_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[148]~575_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[147]~576_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[70]~534_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[68]~536_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[78]~537_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[77]~538_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[86]~541_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[84]~543_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[102]~549_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[100]~551_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[99]~552_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[109]~554_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[108]~555_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[107]~556_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[118]~557_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[117]~558_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[116]~559_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[126]~561_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[125]~562_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[123]~564_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[134]~565_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[132]~567_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[131]~568_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[141]~570_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[140]~571_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[150]~573_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[149]~574_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[148]~575_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[147]~576_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[62]~577_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[61]~578_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[66]~582_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[74]~583_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[82]~584_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[114]~588_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[122]~589_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[130]~590_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[138]~591_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[62]~577_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[59]~580_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[98]~586_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[114]~588_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[122]~589_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[146]~592_combout\ : std_logic;
SIGNAL \u5|PCM_Data_Difference[11]~4_combout\ : std_logic;
SIGNAL \u5|PCM_Data_Difference[9]~6_combout\ : std_logic;
SIGNAL \u5|PCM_Data_Difference[7]~8_combout\ : std_logic;
SIGNAL \u5|PCM_Data_Difference[6]~9_combout\ : std_logic;
SIGNAL \u5|PCM_Data_Difference[5]~10_combout\ : std_logic;
SIGNAL \u5|PCM_Data_Difference[4]~11_combout\ : std_logic;
SIGNAL \u6|PCM_Data_Difference[4]~3_combout\ : std_logic;
SIGNAL \u6|PCM_Data_Difference[5]~4_combout\ : std_logic;
SIGNAL \u6|PCM_Data_Difference[6]~5_combout\ : std_logic;
SIGNAL \u6|PCM_Data_Difference[7]~6_combout\ : std_logic;
SIGNAL \u6|PCM_Data_Difference[8]~7_combout\ : std_logic;
SIGNAL \u6|PCM_Data_Difference[9]~8_combout\ : std_logic;
SIGNAL \u6|PCM_Data_Difference[11]~10_combout\ : std_logic;
SIGNAL \KEY_0~combout\ : std_logic;
SIGNAL \u3|I2S_PCM_DATA_ACCESS_OUT~clkctrl_outclk\ : std_logic;
SIGNAL \u4|FLASH_MEMORY_DATA_OUT[7]~feeder_combout\ : std_logic;
SIGNAL \FLASH_MEMORY_DATA_INOUT[0]~7\ : std_logic;
SIGNAL \FLASH_MEMORY_DATA_INOUT[1]~6\ : std_logic;
SIGNAL \FLASH_MEMORY_DATA_INOUT[2]~5\ : std_logic;
SIGNAL \FLASH_MEMORY_DATA_INOUT[3]~4\ : std_logic;
SIGNAL \FLASH_MEMORY_DATA_INOUT[4]~3\ : std_logic;
SIGNAL \FLASH_MEMORY_DATA_INOUT[5]~2\ : std_logic;
SIGNAL \FLASH_MEMORY_DATA_INOUT[6]~1\ : std_logic;
SIGNAL \FLASH_MEMORY_DATA_INOUT[7]~0\ : std_logic;
SIGNAL \CLOCK_IN~combout\ : std_logic;
SIGNAL \CLOCK_IN~clkctrl_outclk\ : std_logic;
SIGNAL \u2|I2C_Stream_Counter[5]~32\ : std_logic;
SIGNAL \u2|I2C_Stream_Counter[6]~33_combout\ : std_logic;
SIGNAL \I2C_ACTIVE_IN~0_combout\ : std_logic;
SIGNAL \Add6~0_combout\ : std_logic;
SIGNAL \Add6~1\ : std_logic;
SIGNAL \Add6~3\ : std_logic;
SIGNAL \Add6~5\ : std_logic;
SIGNAL \Add6~6_combout\ : std_logic;
SIGNAL \Add6~7\ : std_logic;
SIGNAL \Add6~9\ : std_logic;
SIGNAL \Add6~10_combout\ : std_logic;
SIGNAL \Add6~11\ : std_logic;
SIGNAL \Add6~13\ : std_logic;
SIGNAL \Add6~14_combout\ : std_logic;
SIGNAL \Add6~15\ : std_logic;
SIGNAL \Add6~17\ : std_logic;
SIGNAL \Add6~18_combout\ : std_logic;
SIGNAL \Add6~19\ : std_logic;
SIGNAL \Add6~20_combout\ : std_logic;
SIGNAL \Add6~21\ : std_logic;
SIGNAL \Add6~22_combout\ : std_logic;
SIGNAL \Add6~23\ : std_logic;
SIGNAL \Add6~24_combout\ : std_logic;
SIGNAL \Add6~25\ : std_logic;
SIGNAL \Add6~26_combout\ : std_logic;
SIGNAL \Add6~27\ : std_logic;
SIGNAL \Add6~28_combout\ : std_logic;
SIGNAL \Add6~29\ : std_logic;
SIGNAL \Add6~31\ : std_logic;
SIGNAL \Add6~32_combout\ : std_logic;
SIGNAL \Add6~33\ : std_logic;
SIGNAL \Add6~35\ : std_logic;
SIGNAL \Add6~36_combout\ : std_logic;
SIGNAL \Add6~37\ : std_logic;
SIGNAL \Add6~38_combout\ : std_logic;
SIGNAL \Equal1~6_combout\ : std_logic;
SIGNAL \Add6~39\ : std_logic;
SIGNAL \Add6~41\ : std_logic;
SIGNAL \Add6~42_combout\ : std_logic;
SIGNAL \Add6~43\ : std_logic;
SIGNAL \Add6~45\ : std_logic;
SIGNAL \Add6~46_combout\ : std_logic;
SIGNAL \Add6~47\ : std_logic;
SIGNAL \Add6~48_combout\ : std_logic;
SIGNAL \Add6~44_combout\ : std_logic;
SIGNAL \Equal1~0_combout\ : std_logic;
SIGNAL \Add6~8_combout\ : std_logic;
SIGNAL \Equal1~2_combout\ : std_logic;
SIGNAL \Add6~16_combout\ : std_logic;
SIGNAL \Equal1~3_combout\ : std_logic;
SIGNAL \Equal1~4_combout\ : std_logic;
SIGNAL \Equal1~5_combout\ : std_logic;
SIGNAL \Equal1~7_combout\ : std_logic;
SIGNAL \I2C_ACTIVE_IN~regout\ : std_logic;
SIGNAL \u2|Add1~0_combout\ : std_logic;
SIGNAL \u2|Add1~1\ : std_logic;
SIGNAL \u2|Add1~3\ : std_logic;
SIGNAL \u2|Add1~5\ : std_logic;
SIGNAL \u2|Add1~6_combout\ : std_logic;
SIGNAL \u2|Add1~7\ : std_logic;
SIGNAL \u2|Add1~8_combout\ : std_logic;
SIGNAL \u2|Add1~4_combout\ : std_logic;
SIGNAL \u2|Equal0~5_combout\ : std_logic;
SIGNAL \u2|Add1~9\ : std_logic;
SIGNAL \u2|Add1~10_combout\ : std_logic;
SIGNAL \u2|Add1~11\ : std_logic;
SIGNAL \u2|Add1~13\ : std_logic;
SIGNAL \u2|Add1~14_combout\ : std_logic;
SIGNAL \u2|Add1~12_combout\ : std_logic;
SIGNAL \u2|Equal0~6_combout\ : std_logic;
SIGNAL \u2|Add1~15\ : std_logic;
SIGNAL \u2|Add1~17\ : std_logic;
SIGNAL \u2|Add1~18_combout\ : std_logic;
SIGNAL \u2|Add1~19\ : std_logic;
SIGNAL \u2|Add1~20_combout\ : std_logic;
SIGNAL \u2|Add1~21\ : std_logic;
SIGNAL \u2|Add1~22_combout\ : std_logic;
SIGNAL \u2|Add1~23\ : std_logic;
SIGNAL \u2|Add1~24_combout\ : std_logic;
SIGNAL \u2|Add1~25\ : std_logic;
SIGNAL \u2|Add1~26_combout\ : std_logic;
SIGNAL \u2|Add1~27\ : std_logic;
SIGNAL \u2|Add1~28_combout\ : std_logic;
SIGNAL \u2|Add1~29\ : std_logic;
SIGNAL \u2|Add1~31\ : std_logic;
SIGNAL \u2|Add1~32_combout\ : std_logic;
SIGNAL \u2|Add1~33\ : std_logic;
SIGNAL \u2|Add1~35\ : std_logic;
SIGNAL \u2|Add1~37\ : std_logic;
SIGNAL \u2|Add1~38_combout\ : std_logic;
SIGNAL \u2|Add1~39\ : std_logic;
SIGNAL \u2|Add1~41\ : std_logic;
SIGNAL \u2|Add1~42_combout\ : std_logic;
SIGNAL \u2|Add1~43\ : std_logic;
SIGNAL \u2|Add1~44_combout\ : std_logic;
SIGNAL \u2|Add1~45\ : std_logic;
SIGNAL \u2|Add1~46_combout\ : std_logic;
SIGNAL \u2|Equal0~0_combout\ : std_logic;
SIGNAL \u2|Equal0~2_combout\ : std_logic;
SIGNAL \u2|Equal0~3_combout\ : std_logic;
SIGNAL \u2|Equal0~4_combout\ : std_logic;
SIGNAL \u2|Equal0~7_combout\ : std_logic;
SIGNAL \u2|I2C_Stream_Counter[0]~21_combout\ : std_logic;
SIGNAL \u2|Equal1~0_combout\ : std_logic;
SIGNAL \u2|I2C_Stream_Counter[2]~25_combout\ : std_logic;
SIGNAL \u2|Equal1~1_combout\ : std_logic;
SIGNAL \u2|I2C_Stream_Counter[0]~22\ : std_logic;
SIGNAL \u2|I2C_Stream_Counter[1]~23_combout\ : std_logic;
SIGNAL \u2|I2C_Stream_Counter[1]~24\ : std_logic;
SIGNAL \u2|I2C_Stream_Counter[2]~26\ : std_logic;
SIGNAL \u2|I2C_Stream_Counter[3]~27_combout\ : std_logic;
SIGNAL \u2|I2C_Stream_Counter[3]~28\ : std_logic;
SIGNAL \u2|I2C_Stream_Counter[4]~30\ : std_logic;
SIGNAL \u2|I2C_Stream_Counter[5]~31_combout\ : std_logic;
SIGNAL \u2|I2C_Stream_Counter[4]~29_combout\ : std_logic;
SIGNAL \u2|Mux1~45_combout\ : std_logic;
SIGNAL \u2|Mux1~47_combout\ : std_logic;
SIGNAL \I2C_Stream_Counter[1]~7_combout\ : std_logic;
SIGNAL \I2S_ACTIVE_IN~2_combout\ : std_logic;
SIGNAL \I2C_Stream_Counter[2]~8_combout\ : std_logic;
SIGNAL \I2C_Register_Address_Stream~10_combout\ : std_logic;
SIGNAL \u2|Mux1~41_combout\ : std_logic;
SIGNAL \u2|Mux1~42_combout\ : std_logic;
SIGNAL \I2C_Stream_Counter[0]~6_combout\ : std_logic;
SIGNAL \I2C_Register_Address_Stream~12_combout\ : std_logic;
SIGNAL \u2|Mux1~43_combout\ : std_logic;
SIGNAL \u2|Mux1~44_combout\ : std_logic;
SIGNAL \u2|Mux1~54_combout\ : std_logic;
SIGNAL \Mux9~0_combout\ : std_logic;
SIGNAL \Mux8~0_combout\ : std_logic;
SIGNAL \u2|Mux1~59_combout\ : std_logic;
SIGNAL \Add3~4_combout\ : std_logic;
SIGNAL \KEY_1~combout\ : std_logic;
SIGNAL \AUDIO_CODEC_VOLUME[6]~28_combout\ : std_logic;
SIGNAL \AUDIO_CODEC_VOLUME[6]~29_combout\ : std_logic;
SIGNAL \AUDIO_CODEC_VOLUME[6]~30_combout\ : std_logic;
SIGNAL \Add3~2_combout\ : std_logic;
SIGNAL \Add3~15\ : std_logic;
SIGNAL \Add3~16_combout\ : std_logic;
SIGNAL \AUDIO_CODEC_VOLUME[6]~32_combout\ : std_logic;
SIGNAL \Add3~1_combout\ : std_logic;
SIGNAL \Add3~3_combout\ : std_logic;
SIGNAL \Add3~5\ : std_logic;
SIGNAL \Add3~6_combout\ : std_logic;
SIGNAL \Add3~7\ : std_logic;
SIGNAL \Add3~8_combout\ : std_logic;
SIGNAL \Add3~9\ : std_logic;
SIGNAL \Add3~10_combout\ : std_logic;
SIGNAL \Add3~11\ : std_logic;
SIGNAL \Add3~12_combout\ : std_logic;
SIGNAL \AUDIO_CODEC_VOLUME[4]~33_combout\ : std_logic;
SIGNAL \Add3~13\ : std_logic;
SIGNAL \Add3~14_combout\ : std_logic;
SIGNAL \AUDIO_CODEC_VOLUME[5]~31_combout\ : std_logic;
SIGNAL \Mux4~0_combout\ : std_logic;
SIGNAL \Mux5~0_combout\ : std_logic;
SIGNAL \u2|Mux1~57_combout\ : std_logic;
SIGNAL \Mux6~0_combout\ : std_logic;
SIGNAL \u2|Mux1~56_combout\ : std_logic;
SIGNAL \u2|Mux1~58_combout\ : std_logic;
SIGNAL \u2|Mux1~60_combout\ : std_logic;
SIGNAL \u2|Mux1~62_combout\ : std_logic;
SIGNAL \u2|Mux1~63_combout\ : std_logic;
SIGNAL \u2|I2C_DATA~reg0_regout\ : std_logic;
SIGNAL \u3|Active_Module[0]~feeder_combout\ : std_logic;
SIGNAL \I2S_ACTIVE_IN~3_combout\ : std_logic;
SIGNAL \I2S_ACTIVE_IN~regout\ : std_logic;
SIGNAL \u3|I2S_Clock~1_combout\ : std_logic;
SIGNAL \u3|I2S_Clock~regout\ : std_logic;
SIGNAL \u3|I2S_Clock~clkctrl_outclk\ : std_logic;
SIGNAL \u6|ADPCM_Decoder_State_Counter[0]~4_combout\ : std_logic;
SIGNAL \u5|ADPCM_Decoder_State_Counter~3_combout\ : std_logic;
SIGNAL \u5|ADPCM_Decoder_State_Counter~4_combout\ : std_logic;
SIGNAL \u5|PCM_DATA_OUT[15]~4_combout\ : std_logic;
SIGNAL \ADPCM_DECODER_ACTIVE~0_combout\ : std_logic;
SIGNAL \ADPCM_DECODER_ACTIVE~regout\ : std_logic;
SIGNAL \u6|Active_Module~2_combout\ : std_logic;
SIGNAL \u6|Active_Module~regout\ : std_logic;
SIGNAL \u5|process_0~0_combout\ : std_logic;
SIGNAL \u5|Mux60~0_combout\ : std_logic;
SIGNAL \u6|Add19~0_combout\ : std_logic;
SIGNAL \u6|PCM_Data[0]~173_combout\ : std_logic;
SIGNAL \u6|Add2~6_cout\ : std_logic;
SIGNAL \u6|Add2~7_combout\ : std_logic;
SIGNAL \u6|Last_PCM_Data[0]~17_combout\ : std_logic;
SIGNAL \u5|Last_PCM_Data[15]~17_combout\ : std_logic;
SIGNAL \u5|PCM_Data[15]~182_combout\ : std_logic;
SIGNAL \u6|PCM_Data[0]~172_combout\ : std_logic;
SIGNAL \u6|Mux58~0_combout\ : std_logic;
SIGNAL \u4|Flash_Memory_Counter[0]~5_combout\ : std_logic;
SIGNAL \u4|Flash_Memory_Counter~3_combout\ : std_logic;
SIGNAL \u4|Flash_Memory_Counter~4_combout\ : std_logic;
SIGNAL \u4|Mux33~0_combout\ : std_logic;
SIGNAL \u4|FLASH_MEMORY_DATA_OUT[6]~feeder_combout\ : std_logic;
SIGNAL \ADPCM_Bit_Counter[1]~2_combout\ : std_logic;
SIGNAL \Add2~0_combout\ : std_logic;
SIGNAL \Mux1~0_combout\ : std_logic;
SIGNAL \Mux1~1_combout\ : std_logic;
SIGNAL \ADPCM_DECODER_DATA_RIGHT~regout\ : std_logic;
SIGNAL \~GND~combout\ : std_logic;
SIGNAL \u5|PCM_Data_Difference[0]~0_combout\ : std_logic;
SIGNAL \u6|Last_ADPCM_Data~regout\ : std_logic;
SIGNAL \u6|process_0~1_combout\ : std_logic;
SIGNAL \u6|ADPCM_Decoder_Step_Size_Table_Pointer[3]~51\ : std_logic;
SIGNAL \u6|ADPCM_Decoder_Step_Size_Table_Pointer[4]~53\ : std_logic;
SIGNAL \u6|ADPCM_Decoder_Step_Size_Table_Pointer[5]~55\ : std_logic;
SIGNAL \u6|ADPCM_Decoder_Step_Size_Table_Pointer[6]~57\ : std_logic;
SIGNAL \u6|ADPCM_Decoder_Step_Size_Table_Pointer[7]~58_combout\ : std_logic;
SIGNAL \u6|ADPCM_Decoder_Step_Size_Table_Pointer[7]~_Duplicate_2_regout\ : std_logic;
SIGNAL \u6|ADPCM_Decoder_Step_Size_Table_Pointer[7]~59\ : std_logic;
SIGNAL \u6|ADPCM_Decoder_Step_Size_Table_Pointer[8]~60_combout\ : std_logic;
SIGNAL \u6|ADPCM_Decoder_Step_Size_Table_Pointer[8]~_Duplicate_2_regout\ : std_logic;
SIGNAL \u6|ADPCM_Decoder_Step_Size_Table_Pointer[6]~56_combout\ : std_logic;
SIGNAL \u6|ADPCM_Decoder_Step_Size_Table_Pointer[6]~_Duplicate_2_regout\ : std_logic;
SIGNAL \u6|Add0~1_combout\ : std_logic;
SIGNAL \u6|Add0~2_combout\ : std_logic;
SIGNAL \u6|ADPCM_Decoder_Step_Size_Table_Pointer[0]~41\ : std_logic;
SIGNAL \u6|ADPCM_Decoder_Step_Size_Table_Pointer[1]~47\ : std_logic;
SIGNAL \u6|ADPCM_Decoder_Step_Size_Table_Pointer[2]~49\ : std_logic;
SIGNAL \u6|ADPCM_Decoder_Step_Size_Table_Pointer[3]~50_combout\ : std_logic;
SIGNAL \u6|ADPCM_Decoder_Step_Size_Table_Pointer[3]~_Duplicate_2_regout\ : std_logic;
SIGNAL \u6|LessThan0~0_combout\ : std_logic;
SIGNAL \u6|ADPCM_Decoder_Step_Size_Table_Pointer[4]~43_combout\ : std_logic;
SIGNAL \u6|ADPCM_Decoder_Step_Size_Table_Pointer[4]~44_combout\ : std_logic;
SIGNAL \u6|ADPCM_Decoder_Step_Size_Table_Pointer[9]~45_combout\ : std_logic;
SIGNAL \u6|ADPCM_Decoder_Step_Size_Table_Pointer[0]~40_combout\ : std_logic;
SIGNAL \u6|ADPCM_Decoder_Step_Size_Table_Pointer[1]~46_combout\ : std_logic;
SIGNAL \u6|ADPCM_Decoder_Step_Size_Table_Pointer[2]~48_combout\ : std_logic;
SIGNAL \u6|ADPCM_Decoder_Step_Size_Table_Pointer[4]~52_combout\ : std_logic;
SIGNAL \u6|ADPCM_Decoder_Step_Size_Table_Pointer[5]~54_combout\ : std_logic;
SIGNAL \u6|ADPCM_Decoder_Step_Size_Table_Pointer[9]~_Duplicate_2_regout\ : std_logic;
SIGNAL \u6|ADPCM_Decoder_Step_Size_Table_Pointer[8]~61\ : std_logic;
SIGNAL \u6|ADPCM_Decoder_Step_Size_Table_Pointer[9]~62_combout\ : std_logic;
SIGNAL \u6|Mult0|auto_generated|mac_mult1~dataout\ : std_logic;
SIGNAL \u6|Mult0|auto_generated|mac_mult1~DATAOUT1\ : std_logic;
SIGNAL \u6|Mult0|auto_generated|mac_mult1~DATAOUT2\ : std_logic;
SIGNAL \u6|Mult0|auto_generated|mac_mult1~DATAOUT3\ : std_logic;
SIGNAL \u6|Mult0|auto_generated|mac_mult1~DATAOUT4\ : std_logic;
SIGNAL \u6|Mult0|auto_generated|mac_mult1~DATAOUT5\ : std_logic;
SIGNAL \u6|Mult0|auto_generated|mac_mult1~DATAOUT6\ : std_logic;
SIGNAL \u6|Mult0|auto_generated|mac_mult1~DATAOUT7\ : std_logic;
SIGNAL \u6|Mult0|auto_generated|mac_mult1~DATAOUT8\ : std_logic;
SIGNAL \u6|Mult0|auto_generated|mac_mult1~DATAOUT9\ : std_logic;
SIGNAL \u6|Mult0|auto_generated|mac_mult1~DATAOUT10\ : std_logic;
SIGNAL \u6|Mult0|auto_generated|mac_mult1~DATAOUT11\ : std_logic;
SIGNAL \u6|Mult0|auto_generated|mac_mult1~DATAOUT12\ : std_logic;
SIGNAL \u6|Mult0|auto_generated|mac_mult1~DATAOUT13\ : std_logic;
SIGNAL \u6|Mult0|auto_generated|mac_mult1~DATAOUT14\ : std_logic;
SIGNAL \u6|Mult0|auto_generated|mac_mult1~DATAOUT15\ : std_logic;
SIGNAL \u6|Mult0|auto_generated|mac_mult1~DATAOUT16\ : std_logic;
SIGNAL \u6|Mult0|auto_generated|mac_mult1~DATAOUT17\ : std_logic;
SIGNAL \u6|Mult0|auto_generated|mac_mult1~DATAOUT18\ : std_logic;
SIGNAL \u6|Mult0|auto_generated|mac_mult1~DATAOUT19\ : std_logic;
SIGNAL \u6|Mult0|auto_generated|mac_mult1~0\ : std_logic;
SIGNAL \u6|Mult0|auto_generated|mac_mult1~1\ : std_logic;
SIGNAL \u6|Mult0|auto_generated|mac_mult1~2\ : std_logic;
SIGNAL \u6|Mult0|auto_generated|mac_mult1~3\ : std_logic;
SIGNAL \u6|Mult0|auto_generated|mac_mult1~4\ : std_logic;
SIGNAL \u6|Mult0|auto_generated|mac_mult1~5\ : std_logic;
SIGNAL \u6|Mult0|auto_generated|mac_mult1~6\ : std_logic;
SIGNAL \u6|Mult0|auto_generated|mac_mult1~7\ : std_logic;
SIGNAL \u6|Mult0|auto_generated|mac_mult1~8\ : std_logic;
SIGNAL \u6|Mult0|auto_generated|mac_mult1~9\ : std_logic;
SIGNAL \u6|Mult0|auto_generated|mac_mult1~10\ : std_logic;
SIGNAL \u6|Mult0|auto_generated|mac_mult1~11\ : std_logic;
SIGNAL \u6|Mult0|auto_generated|mac_mult1~12\ : std_logic;
SIGNAL \u6|Mult0|auto_generated|mac_mult1~13\ : std_logic;
SIGNAL \u6|Mult0|auto_generated|mac_mult1~14\ : std_logic;
SIGNAL \u6|Mult0|auto_generated|mac_mult1~15\ : std_logic;
SIGNAL \u6|Mult0|auto_generated|mac_out2~DATAOUT18\ : std_logic;
SIGNAL \u6|Mult0|auto_generated|mac_out2~DATAOUT16\ : std_logic;
SIGNAL \u6|Mult0|auto_generated|mac_out2~DATAOUT15\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[2]~1\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[3]~3\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[4]~5\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[5]~7\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[6]~9\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\ : std_logic;
SIGNAL \u6|Mult0|auto_generated|mac_out2~DATAOUT19\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[54]~209_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[5]~6_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[53]~218_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[4]~4_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[52]~219_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[51]~212_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[2]~0_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[50]~221_combout\ : std_logic;
SIGNAL \u6|Mult0|auto_generated|mac_out2~DATAOUT14\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[49]~214_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[2]~1\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[3]~3\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[4]~5\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[5]~7\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[6]~9\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[7]~11_cout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[6]~8_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[62]~201_combout\ : std_logic;
SIGNAL \u6|Mult0|auto_generated|mac_out2~DATAOUT17\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[61]~578_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[4]~4_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[60]~203_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[3]~2_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[59]~204_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[2]~0_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[58]~205_combout\ : std_logic;
SIGNAL \u6|Mult0|auto_generated|mac_out2~DATAOUT13\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[48]~223_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[48]~215_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[1]~14_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[57]~206_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[2]~1\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[3]~3\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[4]~5\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[5]~7\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[6]~9\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[7]~11_cout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[6]~8_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[70]~185_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[60]~579_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[69]~535_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[4]~4_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[68]~187_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[67]~581_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[2]~0_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[66]~189_combout\ : std_logic;
SIGNAL \u6|Mult0|auto_generated|mac_out2~DATAOUT12\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[56]~199_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[56]~207_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[1]~14_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[65]~190_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[2]~1\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[3]~3\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[4]~5\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[5]~7\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[6]~9\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[7]~11_cout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[6]~8_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[78]~169_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[5]~6_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[77]~170_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[4]~4_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[76]~171_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[66]~582_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[75]~540_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[2]~0_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[74]~173_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[64]~183_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[64]~191_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[1]~14_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[73]~174_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[2]~1\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[3]~3\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[4]~5\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[5]~7\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[6]~9\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[7]~11_cout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[4]~4_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[6]~8_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[86]~153_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[5]~6_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[85]~154_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[84]~155_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[74]~583_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[83]~544_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[2]~0_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[82]~157_combout\ : std_logic;
SIGNAL \u6|Mult0|auto_generated|mac_out2~DATAOUT10\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[72]~175_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[72]~167_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[1]~14_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[81]~158_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[2]~1\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[3]~3\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[4]~5\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[5]~7\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[6]~9\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[7]~11_cout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[93]~546_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[4]~4_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[92]~139_combout\ : std_logic;
SIGNAL \u6|Mult0|auto_generated|mac_out2~DATAOUT11\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[82]~584_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[91]~548_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[90]~585_combout\ : std_logic;
SIGNAL \u6|Mult0|auto_generated|mac_out2~DATAOUT9\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[89]~134_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[2]~1\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[3]~3\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[4]~5\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[5]~7\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[6]~8_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[3]~2_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[76]~539_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[85]~542_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[94]~545_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[6]~9\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[7]~11_cout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[102]~121_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[3]~2_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[92]~547_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[101]~550_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[4]~4_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[100]~123_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[3]~2_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[99]~124_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[2]~0_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[98]~125_combout\ : std_logic;
SIGNAL \u6|Mult0|auto_generated|mac_out2~DATAOUT8\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[88]~143_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[88]~135_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[1]~14_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[97]~126_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[2]~1\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[3]~3\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[4]~5\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[5]~7\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[6]~9\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[7]~11_cout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[3]~2_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[107]~108_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[106]~587_combout\ : std_logic;
SIGNAL \u6|Mult0|auto_generated|mac_out2~DATAOUT7\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[96]~127_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[96]~119_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[1]~14_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[105]~110_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[2]~1\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[3]~3\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[4]~4_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[5]~6_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[110]~553_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[109]~106_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[4]~4_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[108]~107_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[4]~5\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[5]~7\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[6]~9\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[7]~11_cout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[116]~91_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[2]~0_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[115]~560_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[2]~0_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[114]~93_combout\ : std_logic;
SIGNAL \u6|Mult0|auto_generated|mac_out2~DATAOUT6\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[104]~111_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[104]~103_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[1]~14_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[113]~94_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[2]~1\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[3]~3\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[4]~5\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[5]~6_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[6]~8_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[118]~89_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[5]~6_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[117]~90_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[5]~7\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[6]~9\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[7]~11_cout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[125]~74_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[3]~2_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[124]~563_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[3]~2_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[123]~76_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[2]~0_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[122]~77_combout\ : std_logic;
SIGNAL \u6|Mult0|auto_generated|mac_out2~DATAOUT5\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[112]~95_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[112]~87_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[1]~14_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[121]~78_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[2]~1\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[3]~3\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[4]~5\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[5]~7\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[6]~8_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[6]~8_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[126]~73_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[6]~9\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[7]~11_cout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[134]~57_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[133]~566_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[4]~4_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[132]~59_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[3]~2_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[131]~60_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[130]~590_combout\ : std_logic;
SIGNAL \u6|Mult0|auto_generated|mac_out2~DATAOUT4\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[129]~54_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[2]~1\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[3]~3\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[4]~5\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[5]~7\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[6]~9\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[7]~11_cout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\ : std_logic;
SIGNAL \u6|PCM_Data_Difference[2]~1_combout\ : std_logic;
SIGNAL \u5|PCM_Data_Difference[0]~1_combout\ : std_logic;
SIGNAL \u6|Add2~2_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[5]~6_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[142]~569_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[5]~6_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[141]~42_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[4]~4_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[140]~43_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[2]~0_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[139]~572_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[120]~71_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[120]~79_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[1]~14_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[138]~591_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|StageOut[137]~46_combout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|op_9~22\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|op_9~24\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|op_9~26\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|op_9~28\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|op_9~30\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|op_9~32_cout\ : std_logic;
SIGNAL \u6|Div0|auto_generated|divider|divider|op_9~33_combout\ : std_logic;
SIGNAL \u6|PCM_Data_Difference[1]~2_combout\ : std_logic;
SIGNAL \u6|Add2~3_combout\ : std_logic;
SIGNAL \u6|Add2~8\ : std_logic;
SIGNAL \u6|Add2~10\ : std_logic;
SIGNAL \u6|Add2~11_combout\ : std_logic;
SIGNAL \u6|Add17~0_combout\ : std_logic;
SIGNAL \u6|PCM_Data~162_combout\ : std_logic;
SIGNAL \u6|Add18~1\ : std_logic;
SIGNAL \u6|Add18~5\ : std_logic;
SIGNAL \u6|Add18~7\ : std_logic;
SIGNAL \u6|Add18~8_combout\ : std_logic;
SIGNAL \u6|Add18~39_combout\ : std_logic;
SIGNAL \u6|Add18~6_combout\ : std_logic;
SIGNAL \u6|Add18~37_combout\ : std_logic;
SIGNAL \u6|Add18~4_combout\ : std_logic;
SIGNAL \u6|Add18~36_combout\ : std_logic;
SIGNAL \u6|Add19~1\ : std_logic;
SIGNAL \u6|Add19~3\ : std_logic;
SIGNAL \u6|Add19~5\ : std_logic;
SIGNAL \u6|Add19~7\ : std_logic;
SIGNAL \u6|Add19~8_combout\ : std_logic;
SIGNAL \u6|Mux56~0_combout\ : std_logic;
SIGNAL \u6|PCM_Data_Difference[3]~0_combout\ : std_logic;
SIGNAL \u6|Add2~1_combout\ : std_logic;
SIGNAL \u6|Add2~12\ : std_logic;
SIGNAL \u6|Add2~14\ : std_logic;
SIGNAL \u6|Add2~16_combout\ : std_logic;
SIGNAL \u6|Add19~6_combout\ : std_logic;
SIGNAL \u6|Mux57~0_combout\ : std_logic;
SIGNAL \u6|Add2~13_combout\ : std_logic;
SIGNAL \u6|LessThan2~0_combout\ : std_logic;
SIGNAL \u6|LessThan2~6_combout\ : std_logic;
SIGNAL \u6|Add16~1\ : std_logic;
SIGNAL \u6|Add16~4\ : std_logic;
SIGNAL \u6|Add16~5_combout\ : std_logic;
SIGNAL \u6|Add16~29_combout\ : std_logic;
SIGNAL \u6|Add16~3_combout\ : std_logic;
SIGNAL \u6|Add16~27_combout\ : std_logic;
SIGNAL \u6|Add16~0_combout\ : std_logic;
SIGNAL \u6|Add16~2_combout\ : std_logic;
SIGNAL \u6|Add17~1\ : std_logic;
SIGNAL \u6|Add17~3\ : std_logic;
SIGNAL \u6|Add17~5\ : std_logic;
SIGNAL \u6|Add17~6_combout\ : std_logic;
SIGNAL \u6|PCM_Data~166_combout\ : std_logic;
SIGNAL \u6|Add18~9\ : std_logic;
SIGNAL \u6|Add18~10_combout\ : std_logic;
SIGNAL \u6|Add18~40_combout\ : std_logic;
SIGNAL \u6|Add19~9\ : std_logic;
SIGNAL \u6|Add19~11\ : std_logic;
SIGNAL \u6|Add19~12_combout\ : std_logic;
SIGNAL \u6|Mux54~0_combout\ : std_logic;
SIGNAL \u6|Add2~17\ : std_logic;
SIGNAL \u6|Add2~19_combout\ : std_logic;
SIGNAL \u6|Add2~20\ : std_logic;
SIGNAL \u6|Add2~22_combout\ : std_logic;
SIGNAL \u6|Add19~10_combout\ : std_logic;
SIGNAL \u6|Mux55~0_combout\ : std_logic;
SIGNAL \u6|PCM_Data~146_combout\ : std_logic;
SIGNAL \u6|Add19~13\ : std_logic;
SIGNAL \u6|Add19~14_combout\ : std_logic;
SIGNAL \u6|Mux53~0_combout\ : std_logic;
SIGNAL \u6|Add2~23\ : std_logic;
SIGNAL \u6|Add2~25_combout\ : std_logic;
SIGNAL \u6|LessThan2~2_combout\ : std_logic;
SIGNAL \u6|Mux52~0_combout\ : std_logic;
SIGNAL \u6|Add2~26\ : std_logic;
SIGNAL \u6|Add2~28_combout\ : std_logic;
SIGNAL \u6|Add10~14_combout\ : std_logic;
SIGNAL \u6|Add8~0_combout\ : std_logic;
SIGNAL \u6|Add8~2_combout\ : std_logic;
SIGNAL \u6|Add19~18_combout\ : std_logic;
SIGNAL \u6|Mux51~0_combout\ : std_logic;
SIGNAL \u6|Add2~29\ : std_logic;
SIGNAL \u6|Add2~31_combout\ : std_logic;
SIGNAL \u6|Add19~20_combout\ : std_logic;
SIGNAL \u6|Mux50~0_combout\ : std_logic;
SIGNAL \u6|PCM_Data_Difference[10]~9_combout\ : std_logic;
SIGNAL \u6|Add2~33_combout\ : std_logic;
SIGNAL \u6|Add2~32\ : std_logic;
SIGNAL \u6|Add2~34_combout\ : std_logic;
SIGNAL \u6|LessThan2~3_combout\ : std_logic;
SIGNAL \u6|LessThan2~5_combout\ : std_logic;
SIGNAL \u6|LessThan5~0_combout\ : std_logic;
SIGNAL \u6|Add8~1\ : std_logic;
SIGNAL \u6|Add8~3_combout\ : std_logic;
SIGNAL \u6|Add8~12_combout\ : std_logic;
SIGNAL \u6|Add9~1\ : std_logic;
SIGNAL \u6|Add9~3\ : std_logic;
SIGNAL \u6|Add9~5\ : std_logic;
SIGNAL \u6|Add9~6_combout\ : std_logic;
SIGNAL \u6|Add9~4_combout\ : std_logic;
SIGNAL \u6|Add10~1\ : std_logic;
SIGNAL \u6|Add10~5\ : std_logic;
SIGNAL \u6|Add10~7\ : std_logic;
SIGNAL \u6|Add10~9\ : std_logic;
SIGNAL \u6|Add10~10_combout\ : std_logic;
SIGNAL \u6|Add10~16_combout\ : std_logic;
SIGNAL \u6|Add8~4\ : std_logic;
SIGNAL \u6|Add8~6\ : std_logic;
SIGNAL \u6|Add8~7_combout\ : std_logic;
SIGNAL \u6|Add8~14_combout\ : std_logic;
SIGNAL \u6|Add9~7\ : std_logic;
SIGNAL \u6|Add9~9\ : std_logic;
SIGNAL \u6|Add9~10_combout\ : std_logic;
SIGNAL \u6|Add9~8_combout\ : std_logic;
SIGNAL \u6|Add10~11\ : std_logic;
SIGNAL \u6|Add10~13\ : std_logic;
SIGNAL \u6|Add10~17_combout\ : std_logic;
SIGNAL \u6|Add10~19_combout\ : std_logic;
SIGNAL \u6|LessThan9~1_combout\ : std_logic;
SIGNAL \u6|Add10~0_combout\ : std_logic;
SIGNAL \u6|Add10~2_combout\ : std_logic;
SIGNAL \u6|Add10~3_combout\ : std_logic;
SIGNAL \u6|Add10~4_combout\ : std_logic;
SIGNAL \u6|Add10~12_combout\ : std_logic;
SIGNAL \u6|LessThan9~0_combout\ : std_logic;
SIGNAL \u6|LessThan9~2_combout\ : std_logic;
SIGNAL \u6|PCM_Data~141_combout\ : std_logic;
SIGNAL \u6|PCM_Data~143_combout\ : std_logic;
SIGNAL \u6|PCM_Data~140_combout\ : std_logic;
SIGNAL \u6|Add12~1\ : std_logic;
SIGNAL \u6|Add12~4\ : std_logic;
SIGNAL \u6|Add12~6\ : std_logic;
SIGNAL \u6|Add12~7_combout\ : std_logic;
SIGNAL \u6|LessThan10~0_combout\ : std_logic;
SIGNAL \u6|Add11~1\ : std_logic;
SIGNAL \u6|Add11~2_combout\ : std_logic;
SIGNAL \u6|Add10~6_combout\ : std_logic;
SIGNAL \u6|Add10~20_combout\ : std_logic;
SIGNAL \u6|Add11~3\ : std_logic;
SIGNAL \u6|Add11~5\ : std_logic;
SIGNAL \u6|Add11~7\ : std_logic;
SIGNAL \u6|Add11~9\ : std_logic;
SIGNAL \u6|Add11~10_combout\ : std_logic;
SIGNAL \u6|LessThan10~1_combout\ : std_logic;
SIGNAL \u6|LessThan10~2_combout\ : std_logic;
SIGNAL \u6|LessThan10~3_combout\ : std_logic;
SIGNAL \u6|Add12~21_combout\ : std_logic;
SIGNAL \u6|Add12~5_combout\ : std_logic;
SIGNAL \u6|Add12~20_combout\ : std_logic;
SIGNAL \u6|Add12~3_combout\ : std_logic;
SIGNAL \u6|Add12~26_combout\ : std_logic;
SIGNAL \u6|Add12~0_combout\ : std_logic;
SIGNAL \u6|Add12~2_combout\ : std_logic;
SIGNAL \u6|Add13~1\ : std_logic;
SIGNAL \u6|Add13~3\ : std_logic;
SIGNAL \u6|Add13~5\ : std_logic;
SIGNAL \u6|Add13~7\ : std_logic;
SIGNAL \u6|Add13~9\ : std_logic;
SIGNAL \u6|Add13~10_combout\ : std_logic;
SIGNAL \u6|PCM_Data~149_combout\ : std_logic;
SIGNAL \u6|Add13~8_combout\ : std_logic;
SIGNAL \u6|PCM_Data~152_combout\ : std_logic;
SIGNAL \u6|Add13~4_combout\ : std_logic;
SIGNAL \u6|PCM_Data~153_combout\ : std_logic;
SIGNAL \u6|Add13~2_combout\ : std_logic;
SIGNAL \u6|PCM_Data~151_combout\ : std_logic;
SIGNAL \u6|Add14~1\ : std_logic;
SIGNAL \u6|Add14~4\ : std_logic;
SIGNAL \u6|Add14~6\ : std_logic;
SIGNAL \u6|Add14~8\ : std_logic;
SIGNAL \u6|Add14~10\ : std_logic;
SIGNAL \u6|Add14~12\ : std_logic;
SIGNAL \u6|Add14~13_combout\ : std_logic;
SIGNAL \u6|Add14~22_combout\ : std_logic;
SIGNAL \u6|Add14~11_combout\ : std_logic;
SIGNAL \u6|Add14~27_combout\ : std_logic;
SIGNAL \u6|Add14~9_combout\ : std_logic;
SIGNAL \u6|Add14~26_combout\ : std_logic;
SIGNAL \u6|Add14~7_combout\ : std_logic;
SIGNAL \u6|Add14~24_combout\ : std_logic;
SIGNAL \u6|Add14~5_combout\ : std_logic;
SIGNAL \u6|Add14~23_combout\ : std_logic;
SIGNAL \u6|Add14~0_combout\ : std_logic;
SIGNAL \u6|Add14~2_combout\ : std_logic;
SIGNAL \u6|Add15~1\ : std_logic;
SIGNAL \u6|Add15~3\ : std_logic;
SIGNAL \u6|Add15~5\ : std_logic;
SIGNAL \u6|Add15~7\ : std_logic;
SIGNAL \u6|Add15~9\ : std_logic;
SIGNAL \u6|Add15~11\ : std_logic;
SIGNAL \u6|Add15~13\ : std_logic;
SIGNAL \u6|Add15~14_combout\ : std_logic;
SIGNAL \u6|PCM_Data~159_combout\ : std_logic;
SIGNAL \u6|PCM_Data~158_combout\ : std_logic;
SIGNAL \u6|Add15~8_combout\ : std_logic;
SIGNAL \u6|PCM_Data~157_combout\ : std_logic;
SIGNAL \u6|Add16~6\ : std_logic;
SIGNAL \u6|Add16~8\ : std_logic;
SIGNAL \u6|Add16~10\ : std_logic;
SIGNAL \u6|Add16~12\ : std_logic;
SIGNAL \u6|Add16~14\ : std_logic;
SIGNAL \u6|Add16~16\ : std_logic;
SIGNAL \u6|Add16~17_combout\ : std_logic;
SIGNAL \u6|Add16~35_combout\ : std_logic;
SIGNAL \u6|Add16~11_combout\ : std_logic;
SIGNAL \u6|Add16~36_combout\ : std_logic;
SIGNAL \u6|Add16~9_combout\ : std_logic;
SIGNAL \u6|Add16~37_combout\ : std_logic;
SIGNAL \u6|Add16~7_combout\ : std_logic;
SIGNAL \u6|Add16~30_combout\ : std_logic;
SIGNAL \u6|Add17~7\ : std_logic;
SIGNAL \u6|Add17~9\ : std_logic;
SIGNAL \u6|Add17~11\ : std_logic;
SIGNAL \u6|Add17~13\ : std_logic;
SIGNAL \u6|Add17~15\ : std_logic;
SIGNAL \u6|Add17~17\ : std_logic;
SIGNAL \u6|Add17~19\ : std_logic;
SIGNAL \u6|Add17~20_combout\ : std_logic;
SIGNAL \u6|Add17~18_combout\ : std_logic;
SIGNAL \u6|PCM_Data~169_combout\ : std_logic;
SIGNAL \u6|Add17~16_combout\ : std_logic;
SIGNAL \u6|PCM_Data~163_combout\ : std_logic;
SIGNAL \u6|Add18~19\ : std_logic;
SIGNAL \u6|Add18~21\ : std_logic;
SIGNAL \u6|Add18~23\ : std_logic;
SIGNAL \u6|Add18~24_combout\ : std_logic;
SIGNAL \u6|Add18~41_combout\ : std_logic;
SIGNAL \u6|Add19~23\ : std_logic;
SIGNAL \u6|Add19~25\ : std_logic;
SIGNAL \u6|Add19~26_combout\ : std_logic;
SIGNAL \u6|Mux47~0_combout\ : std_logic;
SIGNAL \u6|PCM_Data_Difference[13]~12_combout\ : std_logic;
SIGNAL \u6|Add2~42_combout\ : std_logic;
SIGNAL \u6|PCM_Data_Difference[12]~11_combout\ : std_logic;
SIGNAL \u6|Add2~39_combout\ : std_logic;
SIGNAL \u6|Add2~35\ : std_logic;
SIGNAL \u6|Add2~37_combout\ : std_logic;
SIGNAL \u6|Add2~38\ : std_logic;
SIGNAL \u6|Add2~41\ : std_logic;
SIGNAL \u6|Add2~43_combout\ : std_logic;
SIGNAL \u6|Add19~27\ : std_logic;
SIGNAL \u6|Add19~28_combout\ : std_logic;
SIGNAL \u6|Mux46~0_combout\ : std_logic;
SIGNAL \u6|Add2~44\ : std_logic;
SIGNAL \u6|Add2~45_combout\ : std_logic;
SIGNAL \u6|Add19~24_combout\ : std_logic;
SIGNAL \u6|Mux48~0_combout\ : std_logic;
SIGNAL \u6|Add2~40_combout\ : std_logic;
SIGNAL \u6|LessThan2~4_combout\ : std_logic;
SIGNAL \u6|PCM_Data~136_combout\ : std_logic;
SIGNAL \u6|PCM_Data~137_combout\ : std_logic;
SIGNAL \u6|Add8~8\ : std_logic;
SIGNAL \u6|Add8~9_combout\ : std_logic;
SIGNAL \u6|Add8~11_combout\ : std_logic;
SIGNAL \u6|Add8~5_combout\ : std_logic;
SIGNAL \u6|LessThan7~0_combout\ : std_logic;
SIGNAL \u6|LessThan7~2_combout\ : std_logic;
SIGNAL \u6|Add9~0_combout\ : std_logic;
SIGNAL \u6|PCM_Data~138_combout\ : std_logic;
SIGNAL \u6|LessThan8~0_combout\ : std_logic;
SIGNAL \u6|LessThan8~1_combout\ : std_logic;
SIGNAL \u6|Add10~8_combout\ : std_logic;
SIGNAL \u6|Add10~15_combout\ : std_logic;
SIGNAL \u6|Add11~8_combout\ : std_logic;
SIGNAL \u6|PCM_Data~142_combout\ : std_logic;
SIGNAL \u6|Add12~8\ : std_logic;
SIGNAL \u6|Add12~10\ : std_logic;
SIGNAL \u6|Add12~11_combout\ : std_logic;
SIGNAL \u6|Add12~25_combout\ : std_logic;
SIGNAL \u6|LessThan8~2_combout\ : std_logic;
SIGNAL \u6|Add10~22_combout\ : std_logic;
SIGNAL \u6|Add11~11\ : std_logic;
SIGNAL \u6|Add11~12_combout\ : std_logic;
SIGNAL \u6|Add12~12\ : std_logic;
SIGNAL \u6|Add12~14\ : std_logic;
SIGNAL \u6|Add12~15_combout\ : std_logic;
SIGNAL \u6|Add12~17_combout\ : std_logic;
SIGNAL \u6|Add12~13_combout\ : std_logic;
SIGNAL \u6|Add12~19_combout\ : std_logic;
SIGNAL \u6|PCM_Data~144_combout\ : std_logic;
SIGNAL \u6|PCM_Data~145_combout\ : std_logic;
SIGNAL \u6|PCM_Data~147_combout\ : std_logic;
SIGNAL \u6|PCM_Data~148_combout\ : std_logic;
SIGNAL \u6|Add13~11\ : std_logic;
SIGNAL \u6|Add13~13\ : std_logic;
SIGNAL \u6|Add13~15\ : std_logic;
SIGNAL \u6|Add13~16_combout\ : std_logic;
SIGNAL \u6|Add13~14_combout\ : std_logic;
SIGNAL \u6|Add13~12_combout\ : std_logic;
SIGNAL \u6|LessThan12~0_combout\ : std_logic;
SIGNAL \u6|LessThan12~1_combout\ : std_logic;
SIGNAL \u6|LessThan12~2_combout\ : std_logic;
SIGNAL \u6|LessThan12~3_combout\ : std_logic;
SIGNAL \u6|Add11~13\ : std_logic;
SIGNAL \u6|Add11~14_combout\ : std_logic;
SIGNAL \u6|Add12~16\ : std_logic;
SIGNAL \u6|Add12~22_combout\ : std_logic;
SIGNAL \u6|Add12~24_combout\ : std_logic;
SIGNAL \u6|Add13~17\ : std_logic;
SIGNAL \u6|Add13~18_combout\ : std_logic;
SIGNAL \u6|Add14~14\ : std_logic;
SIGNAL \u6|Add14~16\ : std_logic;
SIGNAL \u6|Add14~18\ : std_logic;
SIGNAL \u6|Add14~20\ : std_logic;
SIGNAL \u6|Add14~28_combout\ : std_logic;
SIGNAL \u6|Add14~30_combout\ : std_logic;
SIGNAL \u6|Add14~19_combout\ : std_logic;
SIGNAL \u6|Add14~21_combout\ : std_logic;
SIGNAL \u6|LessThan13~0_combout\ : std_logic;
SIGNAL \u6|LessThan13~1_combout\ : std_logic;
SIGNAL \u6|LessThan13~3_combout\ : std_logic;
SIGNAL \u6|PCM_Data~154_combout\ : std_logic;
SIGNAL \u6|Add15~4_combout\ : std_logic;
SIGNAL \u6|PCM_Data~155_combout\ : std_logic;
SIGNAL \u6|LessThan14~2_combout\ : std_logic;
SIGNAL \u6|Add15~2_combout\ : std_logic;
SIGNAL \u6|PCM_Data~160_combout\ : std_logic;
SIGNAL \u6|LessThan14~3_combout\ : std_logic;
SIGNAL \u6|LessThan14~4_combout\ : std_logic;
SIGNAL \u6|Add16~15_combout\ : std_logic;
SIGNAL \u6|Add16~33_combout\ : std_logic;
SIGNAL \u6|Add16~18\ : std_logic;
SIGNAL \u6|Add16~20\ : std_logic;
SIGNAL \u6|Add16~21_combout\ : std_logic;
SIGNAL \u6|Add16~31_combout\ : std_logic;
SIGNAL \u6|Add16~13_combout\ : std_logic;
SIGNAL \u6|Add16~32_combout\ : std_logic;
SIGNAL \u6|LessThan15~2_combout\ : std_logic;
SIGNAL \u6|Add16~22\ : std_logic;
SIGNAL \u6|Add16~23_combout\ : std_logic;
SIGNAL \u6|Add16~28_combout\ : std_logic;
SIGNAL \u6|LessThan15~1_combout\ : std_logic;
SIGNAL \u6|Add15~15\ : std_logic;
SIGNAL \u6|Add15~17\ : std_logic;
SIGNAL \u6|Add15~19\ : std_logic;
SIGNAL \u6|Add15~21\ : std_logic;
SIGNAL \u6|Add15~22_combout\ : std_logic;
SIGNAL \u6|Add16~24\ : std_logic;
SIGNAL \u6|Add16~25_combout\ : std_logic;
SIGNAL \u6|LessThan15~0_combout\ : std_logic;
SIGNAL \u6|LessThan15~5_combout\ : std_logic;
SIGNAL \u6|Add17~12_combout\ : std_logic;
SIGNAL \u6|PCM_Data~167_combout\ : std_logic;
SIGNAL \u6|Add17~8_combout\ : std_logic;
SIGNAL \u6|PCM_Data~171_combout\ : std_logic;
SIGNAL \u6|Add18~11\ : std_logic;
SIGNAL \u6|Add18~13\ : std_logic;
SIGNAL \u6|Add18~15\ : std_logic;
SIGNAL \u6|Add18~17\ : std_logic;
SIGNAL \u6|Add18~18_combout\ : std_logic;
SIGNAL \u6|Add18~43_combout\ : std_logic;
SIGNAL \u6|LessThan17~3_combout\ : std_logic;
SIGNAL \u6|Add17~21\ : std_logic;
SIGNAL \u6|Add17~22_combout\ : std_logic;
SIGNAL \u6|Add18~25\ : std_logic;
SIGNAL \u6|Add18~26_combout\ : std_logic;
SIGNAL \u6|Add18~38_combout\ : std_logic;
SIGNAL \u6|LessThan17~2_combout\ : std_logic;
SIGNAL \u6|Add16~38_combout\ : std_logic;
SIGNAL \u6|Add17~23\ : std_logic;
SIGNAL \u6|Add17~25\ : std_logic;
SIGNAL \u6|Add17~26_combout\ : std_logic;
SIGNAL \u6|Add17~24_combout\ : std_logic;
SIGNAL \u6|Add18~27\ : std_logic;
SIGNAL \u6|Add18~29\ : std_logic;
SIGNAL \u6|Add18~30_combout\ : std_logic;
SIGNAL \u6|Add18~0_combout\ : std_logic;
SIGNAL \u6|Add17~2_combout\ : std_logic;
SIGNAL \u6|PCM_Data~164_combout\ : std_logic;
SIGNAL \u6|Add17~4_combout\ : std_logic;
SIGNAL \u6|PCM_Data~165_combout\ : std_logic;
SIGNAL \u6|LessThan16~2_combout\ : std_logic;
SIGNAL \u6|Mux59~0_combout\ : std_logic;
SIGNAL \u6|Add2~9_combout\ : std_logic;
SIGNAL \u6|Add17~10_combout\ : std_logic;
SIGNAL \u6|LessThan16~3_combout\ : std_logic;
SIGNAL \u6|Add18~2_combout\ : std_logic;
SIGNAL \u6|Add18~3_combout\ : std_logic;
SIGNAL \u6|LessThan17~0_combout\ : std_logic;
SIGNAL \u6|LessThan17~4_combout\ : std_logic;
SIGNAL \u6|PCM_Data[0]~175_combout\ : std_logic;
SIGNAL \u6|LessThan16~4_combout\ : std_logic;
SIGNAL \u6|LessThan16~5_combout\ : std_logic;
SIGNAL \u6|Add18~16_combout\ : std_logic;
SIGNAL \u6|Add18~42_combout\ : std_logic;
SIGNAL \u6|Add19~15\ : std_logic;
SIGNAL \u6|Add19~17\ : std_logic;
SIGNAL \u6|Add19~19\ : std_logic;
SIGNAL \u6|Add19~21\ : std_logic;
SIGNAL \u6|Add19~22_combout\ : std_logic;
SIGNAL \u6|Mux49~0_combout\ : std_logic;
SIGNAL \u6|LessThan6~0_combout\ : std_logic;
SIGNAL \u5|PCM_DATA_OUT[15]~5_combout\ : std_logic;
SIGNAL \u3|Add1~0_combout\ : std_logic;
SIGNAL \u3|Mux0~39_combout\ : std_logic;
SIGNAL \u3|Mux0~40_combout\ : std_logic;
SIGNAL \u3|Equal1~0_combout\ : std_logic;
SIGNAL \u3|Add1~7\ : std_logic;
SIGNAL \u3|Add1~9\ : std_logic;
SIGNAL \u3|Add1~10_combout\ : std_logic;
SIGNAL \u3|I2S_Stream_Counter~8_combout\ : std_logic;
SIGNAL \u3|Equal3~0_combout\ : std_logic;
SIGNAL \u3|I2S_Stream_Counter~6_combout\ : std_logic;
SIGNAL \u3|Add1~1\ : std_logic;
SIGNAL \u3|Add1~3\ : std_logic;
SIGNAL \u3|Add1~4_combout\ : std_logic;
SIGNAL \u3|I2S_Stream_Counter~7_combout\ : std_logic;
SIGNAL \u3|Add1~5\ : std_logic;
SIGNAL \u3|Add1~6_combout\ : std_logic;
SIGNAL \u6|Add18~45_combout\ : std_logic;
SIGNAL \u6|Add19~29\ : std_logic;
SIGNAL \u6|Add19~30_combout\ : std_logic;
SIGNAL \u6|Mux45~0_combout\ : std_logic;
SIGNAL \u5|PCM_Data_Difference[15]~feeder_combout\ : std_logic;
SIGNAL \u6|Add2~47_combout\ : std_logic;
SIGNAL \u6|Add2~46\ : std_logic;
SIGNAL \u6|Add2~48_combout\ : std_logic;
SIGNAL \u6|Last_PCM_Data[15]~18_combout\ : std_logic;
SIGNAL \u6|Last_PCM_Data[15]~_wirecell_combout\ : std_logic;
SIGNAL \u6|LessThan2~8_combout\ : std_logic;
SIGNAL \u6|LessThan3~0_combout\ : std_logic;
SIGNAL \u3|Mux0~41_combout\ : std_logic;
SIGNAL \u6|LessThan4~0_combout\ : std_logic;
SIGNAL \u3|Mux0~42_combout\ : std_logic;
SIGNAL \u3|Mux0~44_combout\ : std_logic;
SIGNAL \u3|Mux0~47_combout\ : std_logic;
SIGNAL \u3|Add1~8_combout\ : std_logic;
SIGNAL \u3|Mux0~25_combout\ : std_logic;
SIGNAL \u5|Mux57~0_combout\ : std_logic;
SIGNAL \u4|FLASH_MEMORY_DATA_OUT[5]~feeder_combout\ : std_logic;
SIGNAL \Mux0~0_combout\ : std_logic;
SIGNAL \Mux0~1_combout\ : std_logic;
SIGNAL \ADPCM_DECODER_DATA_LEFT~regout\ : std_logic;
SIGNAL \u5|Last_ADPCM_Data~regout\ : std_logic;
SIGNAL \u5|process_0~1_combout\ : std_logic;
SIGNAL \u5|ADPCM_Decoder_Step_Size_Table_Pointer[0]~40_combout\ : std_logic;
SIGNAL \u5|ADPCM_Decoder_Step_Size_Table_Pointer[0]~_Duplicate_2_regout\ : std_logic;
SIGNAL \u5|ADPCM_Decoder_Step_Size_Table_Pointer[0]~41\ : std_logic;
SIGNAL \u5|ADPCM_Decoder_Step_Size_Table_Pointer[1]~46_combout\ : std_logic;
SIGNAL \u5|ADPCM_Decoder_Step_Size_Table_Pointer[1]~_Duplicate_2_regout\ : std_logic;
SIGNAL \u5|ADPCM_Decoder_Step_Size_Table_Pointer[1]~47\ : std_logic;
SIGNAL \u5|ADPCM_Decoder_Step_Size_Table_Pointer[2]~48_combout\ : std_logic;
SIGNAL \u5|ADPCM_Decoder_Step_Size_Table_Pointer[2]~_Duplicate_2_regout\ : std_logic;
SIGNAL \u5|ADPCM_Decoder_Step_Size_Table_Pointer[2]~49\ : std_logic;
SIGNAL \u5|ADPCM_Decoder_Step_Size_Table_Pointer[3]~51\ : std_logic;
SIGNAL \u5|ADPCM_Decoder_Step_Size_Table_Pointer[4]~53\ : std_logic;
SIGNAL \u5|ADPCM_Decoder_Step_Size_Table_Pointer[5]~55\ : std_logic;
SIGNAL \u5|ADPCM_Decoder_Step_Size_Table_Pointer[6]~56_combout\ : std_logic;
SIGNAL \u5|ADPCM_Decoder_Step_Size_Table_Pointer[6]~_Duplicate_2_regout\ : std_logic;
SIGNAL \u5|ADPCM_Decoder_Step_Size_Table_Pointer[6]~57\ : std_logic;
SIGNAL \u5|ADPCM_Decoder_Step_Size_Table_Pointer[7]~58_combout\ : std_logic;
SIGNAL \u5|ADPCM_Decoder_Step_Size_Table_Pointer[7]~_Duplicate_2_regout\ : std_logic;
SIGNAL \u5|ADPCM_Decoder_Step_Size_Table_Pointer[7]~59\ : std_logic;
SIGNAL \u5|ADPCM_Decoder_Step_Size_Table_Pointer[8]~61\ : std_logic;
SIGNAL \u5|ADPCM_Decoder_Step_Size_Table_Pointer[9]~62_combout\ : std_logic;
SIGNAL \u5|ADPCM_Decoder_Step_Size_Table_Pointer[9]~_Duplicate_2_regout\ : std_logic;
SIGNAL \u5|ADPCM_Decoder_Step_Size_Table_Pointer[9]~43_combout\ : std_logic;
SIGNAL \u5|ADPCM_Decoder_Step_Size_Table_Pointer[4]~52_combout\ : std_logic;
SIGNAL \u5|ADPCM_Decoder_Step_Size_Table_Pointer[4]~_Duplicate_2_regout\ : std_logic;
SIGNAL \u5|ADPCM_Decoder_Step_Size_Table_Pointer[3]~50_combout\ : std_logic;
SIGNAL \u5|ADPCM_Decoder_Step_Size_Table_Pointer[3]~_Duplicate_2_regout\ : std_logic;
SIGNAL \u5|LessThan0~0_combout\ : std_logic;
SIGNAL \u5|ADPCM_Decoder_Step_Size_Table_Pointer[9]~44_combout\ : std_logic;
SIGNAL \u5|ADPCM_Decoder_Step_Size_Table_Pointer[9]~45_combout\ : std_logic;
SIGNAL \u5|ADPCM_Decoder_Step_Size_Table_Pointer[5]~54_combout\ : std_logic;
SIGNAL \u5|ADPCM_Decoder_Step_Size_Table_Pointer[8]~60_combout\ : std_logic;
SIGNAL \u5|Mult0|auto_generated|mac_mult1~dataout\ : std_logic;
SIGNAL \u5|Mult0|auto_generated|mac_mult1~DATAOUT1\ : std_logic;
SIGNAL \u5|Mult0|auto_generated|mac_mult1~DATAOUT2\ : std_logic;
SIGNAL \u5|Mult0|auto_generated|mac_mult1~DATAOUT3\ : std_logic;
SIGNAL \u5|Mult0|auto_generated|mac_mult1~DATAOUT4\ : std_logic;
SIGNAL \u5|Mult0|auto_generated|mac_mult1~DATAOUT5\ : std_logic;
SIGNAL \u5|Mult0|auto_generated|mac_mult1~DATAOUT6\ : std_logic;
SIGNAL \u5|Mult0|auto_generated|mac_mult1~DATAOUT7\ : std_logic;
SIGNAL \u5|Mult0|auto_generated|mac_mult1~DATAOUT8\ : std_logic;
SIGNAL \u5|Mult0|auto_generated|mac_mult1~DATAOUT9\ : std_logic;
SIGNAL \u5|Mult0|auto_generated|mac_mult1~DATAOUT10\ : std_logic;
SIGNAL \u5|Mult0|auto_generated|mac_mult1~DATAOUT11\ : std_logic;
SIGNAL \u5|Mult0|auto_generated|mac_mult1~DATAOUT12\ : std_logic;
SIGNAL \u5|Mult0|auto_generated|mac_mult1~DATAOUT13\ : std_logic;
SIGNAL \u5|Mult0|auto_generated|mac_mult1~DATAOUT14\ : std_logic;
SIGNAL \u5|Mult0|auto_generated|mac_mult1~DATAOUT15\ : std_logic;
SIGNAL \u5|Mult0|auto_generated|mac_mult1~DATAOUT16\ : std_logic;
SIGNAL \u5|Mult0|auto_generated|mac_mult1~DATAOUT17\ : std_logic;
SIGNAL \u5|Mult0|auto_generated|mac_mult1~DATAOUT18\ : std_logic;
SIGNAL \u5|Mult0|auto_generated|mac_mult1~DATAOUT19\ : std_logic;
SIGNAL \u5|Mult0|auto_generated|mac_mult1~0\ : std_logic;
SIGNAL \u5|Mult0|auto_generated|mac_mult1~1\ : std_logic;
SIGNAL \u5|Mult0|auto_generated|mac_mult1~2\ : std_logic;
SIGNAL \u5|Mult0|auto_generated|mac_mult1~3\ : std_logic;
SIGNAL \u5|Mult0|auto_generated|mac_mult1~4\ : std_logic;
SIGNAL \u5|Mult0|auto_generated|mac_mult1~5\ : std_logic;
SIGNAL \u5|Mult0|auto_generated|mac_mult1~6\ : std_logic;
SIGNAL \u5|Mult0|auto_generated|mac_mult1~7\ : std_logic;
SIGNAL \u5|Mult0|auto_generated|mac_mult1~8\ : std_logic;
SIGNAL \u5|Mult0|auto_generated|mac_mult1~9\ : std_logic;
SIGNAL \u5|Mult0|auto_generated|mac_mult1~10\ : std_logic;
SIGNAL \u5|Mult0|auto_generated|mac_mult1~11\ : std_logic;
SIGNAL \u5|Mult0|auto_generated|mac_mult1~12\ : std_logic;
SIGNAL \u5|Mult0|auto_generated|mac_mult1~13\ : std_logic;
SIGNAL \u5|Mult0|auto_generated|mac_mult1~14\ : std_logic;
SIGNAL \u5|Mult0|auto_generated|mac_mult1~15\ : std_logic;
SIGNAL \u5|Mult0|auto_generated|mac_out2~DATAOUT19\ : std_logic;
SIGNAL \u5|Mult0|auto_generated|mac_out2~DATAOUT18\ : std_logic;
SIGNAL \u5|Mult0|auto_generated|mac_out2~DATAOUT15\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[2]~1\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[3]~3\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[4]~5\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[5]~7\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[6]~9\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[54]~209_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[53]~210_combout\ : std_logic;
SIGNAL \u5|Mult0|auto_generated|mac_out2~DATAOUT17\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[52]~211_combout\ : std_logic;
SIGNAL \u5|Mult0|auto_generated|mac_out2~DATAOUT16\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[51]~212_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[50]~213_combout\ : std_logic;
SIGNAL \u5|Mult0|auto_generated|mac_out2~DATAOUT14\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[49]~222_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[2]~1\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[3]~3\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[4]~5\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[5]~7\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[6]~9\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[7]~11_cout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[6]~8_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[62]~201_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[5]~6_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[61]~202_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[4]~4_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[60]~203_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[59]~580_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[58]~197_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[57]~206_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[2]~1\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[3]~3\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[4]~5\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[5]~7\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[6]~9\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[7]~11_cout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[3]~2_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[60]~579_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[69]~535_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[6]~8_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[70]~185_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[5]~6_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[69]~186_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[4]~4_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[68]~187_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[2]~0_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[67]~581_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[2]~0_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[66]~189_combout\ : std_logic;
SIGNAL \u5|Mult0|auto_generated|mac_out2~DATAOUT12\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[65]~182_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[2]~1\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[3]~3\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[4]~5\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[5]~7\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[6]~9\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[7]~11_cout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[78]~537_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[5]~6_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[77]~170_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[3]~2_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[76]~539_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[3]~2_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[75]~172_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[2]~0_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[74]~173_combout\ : std_logic;
SIGNAL \u5|Mult0|auto_generated|mac_out2~DATAOUT11\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[64]~183_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[64]~191_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[1]~14_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[73]~174_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[2]~1\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[3]~3\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[4]~5\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[5]~7\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[6]~9\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[7]~11_cout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[5]~6_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[3]~2_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[68]~536_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[77]~538_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[86]~541_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[85]~154_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[84]~155_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[83]~156_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[82]~157_combout\ : std_logic;
SIGNAL \u5|Mult0|auto_generated|mac_out2~DATAOUT10\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[81]~150_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[2]~1\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[3]~3\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[4]~5\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[5]~7\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[6]~9\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[7]~11_cout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[94]~545_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[75]~540_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[84]~543_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[4]~4_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[93]~546_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[4]~4_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[92]~139_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[3]~2_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[91]~140_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[90]~585_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[80]~159_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[80]~151_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[1]~14_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[89]~142_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[2]~1\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[3]~3\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[4]~5\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[5]~7\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[6]~9\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[7]~11_cout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[5]~6_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[102]~549_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[3]~2_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[92]~547_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[101]~550_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[4]~4_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[100]~123_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[2]~0_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[99]~552_combout\ : std_logic;
SIGNAL \u5|Mult0|auto_generated|mac_out2~DATAOUT9\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[98]~586_combout\ : std_logic;
SIGNAL \u5|Mult0|auto_generated|mac_out2~DATAOUT8\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[88]~143_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[88]~135_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[1]~14_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[97]~126_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[2]~1\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[3]~3\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[4]~5\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[5]~7\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[6]~9\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[7]~11_cout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[110]~553_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[5]~6_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[109]~106_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[4]~4_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[108]~107_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[3]~2_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[107]~108_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[106]~587_combout\ : std_logic;
SIGNAL \u5|Mult0|auto_generated|mac_out2~DATAOUT7\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[105]~102_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[2]~1\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[3]~3\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[4]~5\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[5]~7\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[6]~9\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[7]~11_cout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[3]~2_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[108]~555_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[117]~558_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[6]~8_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[118]~89_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[5]~6_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[117]~90_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[116]~559_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[2]~0_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[115]~560_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[2]~0_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[114]~93_combout\ : std_logic;
SIGNAL \u5|Mult0|auto_generated|mac_out2~DATAOUT6\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[104]~111_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[104]~103_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[1]~14_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[113]~94_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[2]~1\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[3]~3\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[4]~5\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[5]~7\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[6]~9\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[7]~11_cout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[126]~561_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[5]~6_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[125]~74_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[3]~2_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[124]~563_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[3]~2_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[123]~76_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[2]~0_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[122]~77_combout\ : std_logic;
SIGNAL \u5|Mult0|auto_generated|mac_out2~DATAOUT5\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[121]~70_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[2]~1\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[3]~3\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[4]~5\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[5]~7\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[6]~9\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[7]~11_cout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\ : std_logic;
SIGNAL \u5|PCM_Data_Difference[3]~12_combout\ : std_logic;
SIGNAL \u5|Add2~12_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[6]~8_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[134]~57_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[5]~6_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[133]~58_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[4]~4_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[132]~59_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[131]~568_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[2]~0_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[130]~61_combout\ : std_logic;
SIGNAL \u5|Mult0|auto_generated|mac_out2~DATAOUT4\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[129]~54_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[2]~1\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[3]~3\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[4]~5\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[5]~7\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[6]~9\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[7]~11_cout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\ : std_logic;
SIGNAL \u5|PCM_Data_Difference[2]~13_combout\ : std_logic;
SIGNAL \u5|Add2~13_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[6]~8_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[142]~41_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[5]~6_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[141]~42_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[4]~4_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[140]~43_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[3]~2_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[139]~44_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[2]~0_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[138]~45_combout\ : std_logic;
SIGNAL \u5|Mult0|auto_generated|mac_out2~DATAOUT3\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[137]~38_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|op_9~22\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|op_9~24\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|op_9~26\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|op_9~28\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|op_9~30\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|op_9~32_cout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|op_9~33_combout\ : std_logic;
SIGNAL \u5|PCM_Data_Difference[1]~14_combout\ : std_logic;
SIGNAL \u5|Add2~14_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[132]~567_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[141]~570_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[150]~573_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[3]~2_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[140]~571_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[149]~574_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|op_9~25_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[148]~27_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[147]~28_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[146]~592_combout\ : std_logic;
SIGNAL \u5|Mult0|auto_generated|mac_out2~DATAOUT2\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|StageOut[145]~22_combout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_19_result_int[2]~1_cout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_19_result_int[3]~3_cout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_19_result_int[4]~5_cout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_19_result_int[5]~7_cout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_19_result_int[6]~9_cout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_19_result_int[7]~11_cout\ : std_logic;
SIGNAL \u5|Div0|auto_generated|divider|divider|add_sub_19_result_int[8]~12_combout\ : std_logic;
SIGNAL \u5|Add2~15_combout\ : std_logic;
SIGNAL \u5|Add2~17_cout\ : std_logic;
SIGNAL \u5|Add2~19\ : std_logic;
SIGNAL \u5|Add2~21\ : std_logic;
SIGNAL \u5|Add2~23\ : std_logic;
SIGNAL \u5|Add2~24_combout\ : std_logic;
SIGNAL \u5|Add16~1\ : std_logic;
SIGNAL \u5|Add16~3_combout\ : std_logic;
SIGNAL \u5|Add12~0_combout\ : std_logic;
SIGNAL \u5|Add18~36_combout\ : std_logic;
SIGNAL \u5|Add18~40_combout\ : std_logic;
SIGNAL \u5|PCM_Data[0]~186_combout\ : std_logic;
SIGNAL \u5|Add2~18_combout\ : std_logic;
SIGNAL \u5|Last_PCM_Data[0]~19_combout\ : std_logic;
SIGNAL \u5|PCM_Data[0]~184_combout\ : std_logic;
SIGNAL \u5|PCM_Data[0]~187_combout\ : std_logic;
SIGNAL \u5|Add19~1\ : std_logic;
SIGNAL \u5|Add19~2_combout\ : std_logic;
SIGNAL \u5|Mux59~0_combout\ : std_logic;
SIGNAL \u5|Add2~20_combout\ : std_logic;
SIGNAL \u5|Add18~0_combout\ : std_logic;
SIGNAL \u5|Add18~2_combout\ : std_logic;
SIGNAL \u5|Add14~18_combout\ : std_logic;
SIGNAL \u5|Add15~1\ : std_logic;
SIGNAL \u5|Add15~3\ : std_logic;
SIGNAL \u5|Add15~5\ : std_logic;
SIGNAL \u5|Add15~7\ : std_logic;
SIGNAL \u5|Add15~9\ : std_logic;
SIGNAL \u5|Add15~10_combout\ : std_logic;
SIGNAL \u5|PCM_Data~165_combout\ : std_logic;
SIGNAL \u5|Add15~6_combout\ : std_logic;
SIGNAL \u5|PCM_Data~160_combout\ : std_logic;
SIGNAL \u5|Add15~4_combout\ : std_logic;
SIGNAL \u5|PCM_Data~159_combout\ : std_logic;
SIGNAL \u5|Add16~4\ : std_logic;
SIGNAL \u5|Add16~6\ : std_logic;
SIGNAL \u5|Add16~8\ : std_logic;
SIGNAL \u5|Add16~10\ : std_logic;
SIGNAL \u5|Add16~12\ : std_logic;
SIGNAL \u5|Add16~14\ : std_logic;
SIGNAL \u5|Add16~15_combout\ : std_logic;
SIGNAL \u5|Add16~33_combout\ : std_logic;
SIGNAL \u5|Add16~7_combout\ : std_logic;
SIGNAL \u5|Add16~28_combout\ : std_logic;
SIGNAL \u5|Add16~0_combout\ : std_logic;
SIGNAL \u5|Add16~2_combout\ : std_logic;
SIGNAL \u5|Add17~1\ : std_logic;
SIGNAL \u5|Add17~3\ : std_logic;
SIGNAL \u5|Add17~5\ : std_logic;
SIGNAL \u5|Add17~7\ : std_logic;
SIGNAL \u5|Add17~9\ : std_logic;
SIGNAL \u5|Add17~11\ : std_logic;
SIGNAL \u5|Add17~13\ : std_logic;
SIGNAL \u5|Add17~15\ : std_logic;
SIGNAL \u5|Add17~16_combout\ : std_logic;
SIGNAL \u5|PCM_Data~173_combout\ : std_logic;
SIGNAL \u5|Add17~2_combout\ : std_logic;
SIGNAL \u5|PCM_Data~174_combout\ : std_logic;
SIGNAL \u5|Add17~4_combout\ : std_logic;
SIGNAL \u5|PCM_Data~175_combout\ : std_logic;
SIGNAL \u5|LessThan16~2_combout\ : std_logic;
SIGNAL \u5|Add17~10_combout\ : std_logic;
SIGNAL \u5|PCM_Data~180_combout\ : std_logic;
SIGNAL \u5|Add18~1\ : std_logic;
SIGNAL \u5|Add18~5\ : std_logic;
SIGNAL \u5|Add18~7\ : std_logic;
SIGNAL \u5|Add18~9\ : std_logic;
SIGNAL \u5|Add18~11\ : std_logic;
SIGNAL \u5|Add18~13\ : std_logic;
SIGNAL \u5|Add18~15\ : std_logic;
SIGNAL \u5|Add18~16_combout\ : std_logic;
SIGNAL \u5|Add18~42_combout\ : std_logic;
SIGNAL \u5|Add18~14_combout\ : std_logic;
SIGNAL \u5|Add18~33_combout\ : std_logic;
SIGNAL \u5|Add19~9\ : std_logic;
SIGNAL \u5|Add19~11\ : std_logic;
SIGNAL \u5|Add19~13\ : std_logic;
SIGNAL \u5|Add19~15\ : std_logic;
SIGNAL \u5|Add19~17\ : std_logic;
SIGNAL \u5|Add19~18_combout\ : std_logic;
SIGNAL \u5|Mux51~0_combout\ : std_logic;
SIGNAL \u5|PCM_Data_Difference[8]~7_combout\ : std_logic;
SIGNAL \u5|Add2~7_combout\ : std_logic;
SIGNAL \u5|Add2~25\ : std_logic;
SIGNAL \u5|Add2~26_combout\ : std_logic;
SIGNAL \u5|Add2~27\ : std_logic;
SIGNAL \u5|Add2~28_combout\ : std_logic;
SIGNAL \u5|Add2~29\ : std_logic;
SIGNAL \u5|Add2~30_combout\ : std_logic;
SIGNAL \u5|Add2~31\ : std_logic;
SIGNAL \u5|Add2~32_combout\ : std_logic;
SIGNAL \u5|Add2~33\ : std_logic;
SIGNAL \u5|Add2~35\ : std_logic;
SIGNAL \u5|Add2~36_combout\ : std_logic;
SIGNAL \u5|Add19~16_combout\ : std_logic;
SIGNAL \u5|Mux52~0_combout\ : std_logic;
SIGNAL \u5|Add2~34_combout\ : std_logic;
SIGNAL \u5|LessThan2~3_combout\ : std_logic;
SIGNAL \u5|Add16~16\ : std_logic;
SIGNAL \u5|Add16~17_combout\ : std_logic;
SIGNAL \u5|Add16~35_combout\ : std_logic;
SIGNAL \u5|Add17~17\ : std_logic;
SIGNAL \u5|Add17~19\ : std_logic;
SIGNAL \u5|Add17~20_combout\ : std_logic;
SIGNAL \u5|Add17~18_combout\ : std_logic;
SIGNAL \u5|PCM_Data~179_combout\ : std_logic;
SIGNAL \u5|Add18~17\ : std_logic;
SIGNAL \u5|Add18~19\ : std_logic;
SIGNAL \u5|Add18~21\ : std_logic;
SIGNAL \u5|Add18~23\ : std_logic;
SIGNAL \u5|Add18~24_combout\ : std_logic;
SIGNAL \u5|Add18~41_combout\ : std_logic;
SIGNAL \u5|Add18~20_combout\ : std_logic;
SIGNAL \u5|Add18~35_combout\ : std_logic;
SIGNAL \u5|Add19~19\ : std_logic;
SIGNAL \u5|Add19~21\ : std_logic;
SIGNAL \u5|Add19~23\ : std_logic;
SIGNAL \u5|Add19~24_combout\ : std_logic;
SIGNAL \u5|Mux48~0_combout\ : std_logic;
SIGNAL \u5|PCM_Data_Difference[12]~3_combout\ : std_logic;
SIGNAL \u5|Add2~3_combout\ : std_logic;
SIGNAL \u5|PCM_Data_Difference[10]~5_combout\ : std_logic;
SIGNAL \u5|Add2~5_combout\ : std_logic;
SIGNAL \u5|Add2~37\ : std_logic;
SIGNAL \u5|Add2~39\ : std_logic;
SIGNAL \u5|Add2~40_combout\ : std_logic;
SIGNAL \u5|Add2~41\ : std_logic;
SIGNAL \u5|Add2~42_combout\ : std_logic;
SIGNAL \u5|Add19~22_combout\ : std_logic;
SIGNAL \u5|Mux49~0_combout\ : std_logic;
SIGNAL \u5|LessThan6~0_combout\ : std_logic;
SIGNAL \u5|Add8~2_combout\ : std_logic;
SIGNAL \u5|Add8~1\ : std_logic;
SIGNAL \u5|Add8~3_combout\ : std_logic;
SIGNAL \u5|LessThan2~4_combout\ : std_logic;
SIGNAL \u5|Add18~44_combout\ : std_logic;
SIGNAL \u5|Add18~25\ : std_logic;
SIGNAL \u5|Add18~27\ : std_logic;
SIGNAL \u5|Add18~28_combout\ : std_logic;
SIGNAL \u5|Add18~34_combout\ : std_logic;
SIGNAL \u5|Add18~26_combout\ : std_logic;
SIGNAL \u5|Add18~38_combout\ : std_logic;
SIGNAL \u5|Add19~25\ : std_logic;
SIGNAL \u5|Add19~27\ : std_logic;
SIGNAL \u5|Add19~29\ : std_logic;
SIGNAL \u5|Add19~30_combout\ : std_logic;
SIGNAL \u5|Mux45~5_combout\ : std_logic;
SIGNAL \u5|Add2~1_combout\ : std_logic;
SIGNAL \u5|PCM_Data_Difference[13]~2_combout\ : std_logic;
SIGNAL \u5|Add2~2_combout\ : std_logic;
SIGNAL \u5|Add2~43\ : std_logic;
SIGNAL \u5|Add2~45\ : std_logic;
SIGNAL \u5|Add2~46_combout\ : std_logic;
SIGNAL \u5|Add2~47\ : std_logic;
SIGNAL \u5|Add2~48_combout\ : std_logic;
SIGNAL \u5|Last_PCM_Data[15]~18_combout\ : std_logic;
SIGNAL \u5|Last_PCM_Data[15]~_wirecell_combout\ : std_logic;
SIGNAL \u5|Mux47~0_combout\ : std_logic;
SIGNAL \u5|Add2~44_combout\ : std_logic;
SIGNAL \u5|PCM_Data~136_combout\ : std_logic;
SIGNAL \u5|Add7~0_combout\ : std_logic;
SIGNAL \u5|LessThan2~6_combout\ : std_logic;
SIGNAL \u5|PCM_Data~137_combout\ : std_logic;
SIGNAL \u5|Add8~4\ : std_logic;
SIGNAL \u5|Add8~6\ : std_logic;
SIGNAL \u5|Add8~7_combout\ : std_logic;
SIGNAL \u5|LessThan7~0_combout\ : std_logic;
SIGNAL \u5|Add8~8\ : std_logic;
SIGNAL \u5|Add8~9_combout\ : std_logic;
SIGNAL \u5|Add8~11_combout\ : std_logic;
SIGNAL \u5|LessThan7~2_combout\ : std_logic;
SIGNAL \u5|Add8~5_combout\ : std_logic;
SIGNAL \u5|Add8~13_combout\ : std_logic;
SIGNAL \u5|Add8~12_combout\ : std_logic;
SIGNAL \u5|Mux50~0_combout\ : std_logic;
SIGNAL \u5|Add2~38_combout\ : std_logic;
SIGNAL \u5|Add9~1\ : std_logic;
SIGNAL \u5|Add9~3\ : std_logic;
SIGNAL \u5|Add9~5\ : std_logic;
SIGNAL \u5|Add9~7\ : std_logic;
SIGNAL \u5|Add9~9\ : std_logic;
SIGNAL \u5|Add9~10_combout\ : std_logic;
SIGNAL \u5|Add9~8_combout\ : std_logic;
SIGNAL \u5|Add9~6_combout\ : std_logic;
SIGNAL \u5|LessThan8~0_combout\ : std_logic;
SIGNAL \u5|Add9~2_combout\ : std_logic;
SIGNAL \u5|LessThan8~1_combout\ : std_logic;
SIGNAL \u5|Add10~15_combout\ : std_logic;
SIGNAL \u5|Add10~0_combout\ : std_logic;
SIGNAL \u5|Add10~3_combout\ : std_logic;
SIGNAL \u5|Add11~1\ : std_logic;
SIGNAL \u5|Add11~3\ : std_logic;
SIGNAL \u5|Add11~5\ : std_logic;
SIGNAL \u5|Add11~7\ : std_logic;
SIGNAL \u5|Add11~8_combout\ : std_logic;
SIGNAL \u5|LessThan8~2_combout\ : std_logic;
SIGNAL \u5|Add10~1\ : std_logic;
SIGNAL \u5|Add10~4_combout\ : std_logic;
SIGNAL \u5|LessThan9~0_combout\ : std_logic;
SIGNAL \u5|Add9~4_combout\ : std_logic;
SIGNAL \u5|PCM_Data~139_combout\ : std_logic;
SIGNAL \u5|Add10~5\ : std_logic;
SIGNAL \u5|Add10~7\ : std_logic;
SIGNAL \u5|Add10~9\ : std_logic;
SIGNAL \u5|Add10~11\ : std_logic;
SIGNAL \u5|Add10~13\ : std_logic;
SIGNAL \u5|Add10~17_combout\ : std_logic;
SIGNAL \u5|Add10~19_combout\ : std_logic;
SIGNAL \u5|LessThan9~1_combout\ : std_logic;
SIGNAL \u5|LessThan9~2_combout\ : std_logic;
SIGNAL \u5|PCM_Data~143_combout\ : std_logic;
SIGNAL \u5|Add12~1\ : std_logic;
SIGNAL \u5|Add12~4\ : std_logic;
SIGNAL \u5|Add12~6\ : std_logic;
SIGNAL \u5|Add12~8\ : std_logic;
SIGNAL \u5|Add12~10\ : std_logic;
SIGNAL \u5|Add12~11_combout\ : std_logic;
SIGNAL \u5|Add12~25_combout\ : std_logic;
SIGNAL \u5|Add12~5_combout\ : std_logic;
SIGNAL \u5|Add12~20_combout\ : std_logic;
SIGNAL \u5|Add12~7_combout\ : std_logic;
SIGNAL \u5|Add12~21_combout\ : std_logic;
SIGNAL \u5|Add11~9\ : std_logic;
SIGNAL \u5|Add11~10_combout\ : std_logic;
SIGNAL \u5|Add12~12\ : std_logic;
SIGNAL \u5|Add12~13_combout\ : std_logic;
SIGNAL \u5|Add12~19_combout\ : std_logic;
SIGNAL \u5|PCM_Data~144_combout\ : std_logic;
SIGNAL \u5|Add12~9_combout\ : std_logic;
SIGNAL \u5|Add12~18_combout\ : std_logic;
SIGNAL \u5|PCM_Data~145_combout\ : std_logic;
SIGNAL \u5|Add12~3_combout\ : std_logic;
SIGNAL \u5|Add12~26_combout\ : std_logic;
SIGNAL \u5|PCM_Data~147_combout\ : std_logic;
SIGNAL \u5|Add13~5\ : std_logic;
SIGNAL \u5|Add13~6_combout\ : std_logic;
SIGNAL \u5|PCM_Data~150_combout\ : std_logic;
SIGNAL \u5|PCM_Data~151_combout\ : std_logic;
SIGNAL \u5|Add19~12_combout\ : std_logic;
SIGNAL \u5|Mux54~0_combout\ : std_logic;
SIGNAL \u5|PCM_Data~148_combout\ : std_logic;
SIGNAL \u5|Add14~1\ : std_logic;
SIGNAL \u5|Add14~4\ : std_logic;
SIGNAL \u5|Add14~6\ : std_logic;
SIGNAL \u5|Add14~8\ : std_logic;
SIGNAL \u5|Add14~10\ : std_logic;
SIGNAL \u5|Add14~12\ : std_logic;
SIGNAL \u5|Add14~14\ : std_logic;
SIGNAL \u5|Add14~19_combout\ : std_logic;
SIGNAL \u5|Add14~31_combout\ : std_logic;
SIGNAL \u5|Add14~13_combout\ : std_logic;
SIGNAL \u5|Add14~15_combout\ : std_logic;
SIGNAL \u5|Add15~11\ : std_logic;
SIGNAL \u5|Add15~13\ : std_logic;
SIGNAL \u5|Add15~15\ : std_logic;
SIGNAL \u5|Add15~16_combout\ : std_logic;
SIGNAL \u5|Add16~18\ : std_logic;
SIGNAL \u5|Add16~19_combout\ : std_logic;
SIGNAL \u5|Add16~34_combout\ : std_logic;
SIGNAL \u5|Add17~21\ : std_logic;
SIGNAL \u5|Add17~22_combout\ : std_logic;
SIGNAL \u5|Add10~12_combout\ : std_logic;
SIGNAL \u5|Add10~22_combout\ : std_logic;
SIGNAL \u5|Add11~11\ : std_logic;
SIGNAL \u5|Add11~12_combout\ : std_logic;
SIGNAL \u5|Add12~14\ : std_logic;
SIGNAL \u5|Add12~15_combout\ : std_logic;
SIGNAL \u5|Add12~17_combout\ : std_logic;
SIGNAL \u5|Add13~7\ : std_logic;
SIGNAL \u5|Add13~9\ : std_logic;
SIGNAL \u5|Add13~11\ : std_logic;
SIGNAL \u5|Add13~13\ : std_logic;
SIGNAL \u5|Add13~15\ : std_logic;
SIGNAL \u5|Add13~16_combout\ : std_logic;
SIGNAL \u5|Add13~14_combout\ : std_logic;
SIGNAL \u5|Add14~20\ : std_logic;
SIGNAL \u5|Add14~22\ : std_logic;
SIGNAL \u5|Add14~23_combout\ : std_logic;
SIGNAL \u5|Add14~25_combout\ : std_logic;
SIGNAL \u5|Add15~17\ : std_logic;
SIGNAL \u5|Add15~19\ : std_logic;
SIGNAL \u5|Add15~20_combout\ : std_logic;
SIGNAL \u5|Add15~18_combout\ : std_logic;
SIGNAL \u5|Add16~20\ : std_logic;
SIGNAL \u5|Add16~22\ : std_logic;
SIGNAL \u5|Add16~23_combout\ : std_logic;
SIGNAL \u5|Add16~27_combout\ : std_logic;
SIGNAL \u5|Add17~23\ : std_logic;
SIGNAL \u5|Add17~24_combout\ : std_logic;
SIGNAL \u5|LessThan16~0_combout\ : std_logic;
SIGNAL \u5|LessThan16~1_combout\ : std_logic;
SIGNAL \u5|Add18~3_combout\ : std_logic;
SIGNAL \u5|Add19~3\ : std_logic;
SIGNAL \u5|Add19~4_combout\ : std_logic;
SIGNAL \u5|Mux58~0_combout\ : std_logic;
SIGNAL \u5|Add2~22_combout\ : std_logic;
SIGNAL \u5|Add17~0_combout\ : std_logic;
SIGNAL \u5|PCM_Data~172_combout\ : std_logic;
SIGNAL \u5|Add18~4_combout\ : std_logic;
SIGNAL \u5|Add18~39_combout\ : std_logic;
SIGNAL \u5|Add19~5\ : std_logic;
SIGNAL \u5|Add19~7\ : std_logic;
SIGNAL \u5|Add19~8_combout\ : std_logic;
SIGNAL \u5|Mux56~0_combout\ : std_logic;
SIGNAL \u5|LessThan2~1_combout\ : std_logic;
SIGNAL \u5|LessThan2~0_combout\ : std_logic;
SIGNAL \u5|LessThan2~2_combout\ : std_logic;
SIGNAL \u5|Add10~14_combout\ : std_logic;
SIGNAL \u5|Add10~6_combout\ : std_logic;
SIGNAL \u5|Add10~20_combout\ : std_logic;
SIGNAL \u5|Add11~6_combout\ : std_logic;
SIGNAL \u5|PCM_Data~142_combout\ : std_logic;
SIGNAL \u5|Add11~4_combout\ : std_logic;
SIGNAL \u5|PCM_Data~141_combout\ : std_logic;
SIGNAL \u5|Add11~2_combout\ : std_logic;
SIGNAL \u5|Add11~13\ : std_logic;
SIGNAL \u5|Add11~14_combout\ : std_logic;
SIGNAL \u5|LessThan10~1_combout\ : std_logic;
SIGNAL \u5|LessThan10~2_combout\ : std_logic;
SIGNAL \u5|LessThan10~3_combout\ : std_logic;
SIGNAL \u5|Add12~2_combout\ : std_logic;
SIGNAL \u5|Add13~1\ : std_logic;
SIGNAL \u5|Add13~3\ : std_logic;
SIGNAL \u5|Add13~4_combout\ : std_logic;
SIGNAL \u5|PCM_Data~153_combout\ : std_logic;
SIGNAL \u5|Add14~7_combout\ : std_logic;
SIGNAL \u5|Add14~17_combout\ : std_logic;
SIGNAL \u5|Add15~8_combout\ : std_logic;
SIGNAL \u5|PCM_Data~161_combout\ : std_logic;
SIGNAL \u5|Add16~11_combout\ : std_logic;
SIGNAL \u5|PCM_Data~170_combout\ : std_logic;
SIGNAL \u5|Add16~13_combout\ : std_logic;
SIGNAL \u5|Add16~32_combout\ : std_logic;
SIGNAL \u5|PCM_Data~168_combout\ : std_logic;
SIGNAL \u5|Add14~24\ : std_logic;
SIGNAL \u5|Add14~28_combout\ : std_logic;
SIGNAL \u5|Add14~30_combout\ : std_logic;
SIGNAL \u5|Add15~21\ : std_logic;
SIGNAL \u5|Add15~22_combout\ : std_logic;
SIGNAL \u5|Add16~24\ : std_logic;
SIGNAL \u5|Add16~25_combout\ : std_logic;
SIGNAL \u5|PCM_Data~166_combout\ : std_logic;
SIGNAL \u5|PCM_Data~171_combout\ : std_logic;
SIGNAL \u5|Add17~8_combout\ : std_logic;
SIGNAL \u5|LessThan16~3_combout\ : std_logic;
SIGNAL \u5|Add17~14_combout\ : std_logic;
SIGNAL \u5|PCM_Data~178_combout\ : std_logic;
SIGNAL \u5|Add17~12_combout\ : std_logic;
SIGNAL \u5|PCM_Data~177_combout\ : std_logic;
SIGNAL \u5|LessThan16~4_combout\ : std_logic;
SIGNAL \u5|LessThan16~5_combout\ : std_logic;
SIGNAL \u5|Add18~10_combout\ : std_logic;
SIGNAL \u5|Add18~37_combout\ : std_logic;
SIGNAL \u5|Add19~10_combout\ : std_logic;
SIGNAL \u5|Mux55~0_combout\ : std_logic;
SIGNAL \u5|LessThan2~7_combout\ : std_logic;
SIGNAL \u5|Add13~10_combout\ : std_logic;
SIGNAL \u5|PCM_Data~149_combout\ : std_logic;
SIGNAL \u5|LessThan12~2_combout\ : std_logic;
SIGNAL \u5|LessThan12~3_combout\ : std_logic;
SIGNAL \u5|Add14~11_combout\ : std_logic;
SIGNAL \u5|Add14~27_combout\ : std_logic;
SIGNAL \u5|Add14~5_combout\ : std_logic;
SIGNAL \u5|Add14~16_combout\ : std_logic;
SIGNAL \u5|Add14~9_combout\ : std_logic;
SIGNAL \u5|Add14~26_combout\ : std_logic;
SIGNAL \u5|PCM_Data~154_combout\ : std_logic;
SIGNAL \u5|PCM_Data~155_combout\ : std_logic;
SIGNAL \u5|Add14~21_combout\ : std_logic;
SIGNAL \u5|Add14~32_combout\ : std_logic;
SIGNAL \u5|PCM_Data~156_combout\ : std_logic;
SIGNAL \u5|PCM_Data~157_combout\ : std_logic;
SIGNAL \u5|LessThan14~0_combout\ : std_logic;
SIGNAL \u5|LessThan14~1_combout\ : std_logic;
SIGNAL \u5|PCM_Data~164_combout\ : std_logic;
SIGNAL \u5|Add15~14_combout\ : std_logic;
SIGNAL \u5|PCM_Data~163_combout\ : std_logic;
SIGNAL \u5|LessThan14~3_combout\ : std_logic;
SIGNAL \u5|Add15~12_combout\ : std_logic;
SIGNAL \u5|PCM_Data~162_combout\ : std_logic;
SIGNAL \u5|LessThan14~2_combout\ : std_logic;
SIGNAL \u5|LessThan14~4_combout\ : std_logic;
SIGNAL \u5|Add16~38_combout\ : std_logic;
SIGNAL \u5|Add17~25\ : std_logic;
SIGNAL \u5|Add17~26_combout\ : std_logic;
SIGNAL \u5|Add18~29\ : std_logic;
SIGNAL \u5|Add18~30_combout\ : std_logic;
SIGNAL \u5|Add18~22_combout\ : std_logic;
SIGNAL \u5|Mux45~0_combout\ : std_logic;
SIGNAL \u5|Add18~12_combout\ : std_logic;
SIGNAL \u5|Add18~32_combout\ : std_logic;
SIGNAL \u5|Mux45~1_combout\ : std_logic;
SIGNAL \u5|Mux45~2_combout\ : std_logic;
SIGNAL \u5|Mux45~4_combout\ : std_logic;
SIGNAL \u5|Add19~28_combout\ : std_logic;
SIGNAL \u5|Mux46~0_combout\ : std_logic;
SIGNAL \u5|LessThan3~0_combout\ : std_logic;
SIGNAL \u3|Mux0~28_combout\ : std_logic;
SIGNAL \u3|Mux0~29_combout\ : std_logic;
SIGNAL \u5|LessThan4~0_combout\ : std_logic;
SIGNAL \u3|Mux0~35_combout\ : std_logic;
SIGNAL \u3|Mux0~36_combout\ : std_logic;
SIGNAL \u3|Mux0~32_combout\ : std_logic;
SIGNAL \u3|Mux0~33_combout\ : std_logic;
SIGNAL \u5|LessThan2~5_combout\ : std_logic;
SIGNAL \u3|Mux0~30_combout\ : std_logic;
SIGNAL \u3|Mux0~31_combout\ : std_logic;
SIGNAL \u3|Mux0~34_combout\ : std_logic;
SIGNAL \u3|Mux0~37_combout\ : std_logic;
SIGNAL \u3|Mux0~26_combout\ : std_logic;
SIGNAL \u3|Mux0~27_combout\ : std_logic;
SIGNAL \u3|Mux0~38_combout\ : std_logic;
SIGNAL \u3|Mux0~48_combout\ : std_logic;
SIGNAL \u3|I2S_DATA_INOUT~reg0_regout\ : std_logic;
SIGNAL \u5|LessThan5~0_combout\ : std_logic;
SIGNAL \LessThan0~0_combout\ : std_logic;
SIGNAL \Red_LEDs_Bar~31_combout\ : std_logic;
SIGNAL \Red_LEDs_Bar~32_combout\ : std_logic;
SIGNAL \Red_LEDs_Bar~33_combout\ : std_logic;
SIGNAL \Red_LEDs_Bar~35_combout\ : std_logic;
SIGNAL \Red_LEDs_Bar~25_combout\ : std_logic;
SIGNAL \Red_LEDs_Bar~26_combout\ : std_logic;
SIGNAL \Red_LEDs_Bar~29_combout\ : std_logic;
SIGNAL \Red_LEDs_Bar~30_combout\ : std_logic;
SIGNAL \Red_LEDs_Bar~34_combout\ : std_logic;
SIGNAL \u1|Mux0~4_combout\ : std_logic;
SIGNAL \u1|Mux0~5_combout\ : std_logic;
SIGNAL \u1|Mux2~5_combout\ : std_logic;
SIGNAL \u1|Mux3~1_combout\ : std_logic;
SIGNAL \u1|Mux4~5_combout\ : std_logic;
SIGNAL \u1|Mux5~2_combout\ : std_logic;
SIGNAL \u1|Mux6~5_combout\ : std_logic;
SIGNAL \u1|Mux7~1_combout\ : std_logic;
SIGNAL \u1|Mux8~0_combout\ : std_logic;
SIGNAL \u2|Mux0~43_combout\ : std_logic;
SIGNAL \u2|Mux0~42_combout\ : std_logic;
SIGNAL \u2|Mux0~45_combout\ : std_logic;
SIGNAL \u2|Mux0~44_combout\ : std_logic;
SIGNAL \u2|Mux0~40_combout\ : std_logic;
SIGNAL \u2|Mux0~46_combout\ : std_logic;
SIGNAL \u2|I2C_CLOCK~regout\ : std_logic;
SIGNAL \u3|I2S_LEFT_RIGHT_CLOCK_OUT~3_combout\ : std_logic;
SIGNAL \u3|I2S_LEFT_RIGHT_CLOCK_OUT~4_combout\ : std_logic;
SIGNAL \u3|I2S_LEFT_RIGHT_CLOCK_OUT~regout\ : std_logic;
SIGNAL \I2S_CORE_CLOCK~0_combout\ : std_logic;
SIGNAL \I2S_CORE_CLOCK~regout\ : std_logic;
SIGNAL \u4|Add0~0_combout\ : std_logic;
SIGNAL \u4|Add0~1\ : std_logic;
SIGNAL \u4|Add0~3\ : std_logic;
SIGNAL \u4|Add0~5\ : std_logic;
SIGNAL \u4|Add0~6_combout\ : std_logic;
SIGNAL \u4|Add0~7\ : std_logic;
SIGNAL \u4|Add0~9\ : std_logic;
SIGNAL \u4|Add0~10_combout\ : std_logic;
SIGNAL \u4|Add0~11\ : std_logic;
SIGNAL \u4|Add0~13\ : std_logic;
SIGNAL \u4|Add0~14_combout\ : std_logic;
SIGNAL \u4|Add0~15\ : std_logic;
SIGNAL \u4|Add0~17\ : std_logic;
SIGNAL \u4|Add0~18_combout\ : std_logic;
SIGNAL \u4|Add0~19\ : std_logic;
SIGNAL \u4|Add0~20_combout\ : std_logic;
SIGNAL \u4|Add0~21\ : std_logic;
SIGNAL \u4|Add0~22_combout\ : std_logic;
SIGNAL \u4|Add0~23\ : std_logic;
SIGNAL \u4|Add0~24_combout\ : std_logic;
SIGNAL \u4|Add0~25\ : std_logic;
SIGNAL \u4|Add0~26_combout\ : std_logic;
SIGNAL \u4|Add0~27\ : std_logic;
SIGNAL \u4|Add0~28_combout\ : std_logic;
SIGNAL \u4|Add0~29\ : std_logic;
SIGNAL \u4|Add0~31\ : std_logic;
SIGNAL \u4|Add0~32_combout\ : std_logic;
SIGNAL \u4|Add0~33\ : std_logic;
SIGNAL \u4|Add0~35\ : std_logic;
SIGNAL \u4|Add0~37\ : std_logic;
SIGNAL \u4|Add0~38_combout\ : std_logic;
SIGNAL \u4|Add0~36_combout\ : std_logic;
SIGNAL \u4|Equal0~5_combout\ : std_logic;
SIGNAL \u4|Add0~39\ : std_logic;
SIGNAL \u4|Add0~41\ : std_logic;
SIGNAL \u4|Add0~42_combout\ : std_logic;
SIGNAL \u4|Add0~43\ : std_logic;
SIGNAL \u4|Add0~45\ : std_logic;
SIGNAL \u4|Add0~46_combout\ : std_logic;
SIGNAL \u4|Add0~40_combout\ : std_logic;
SIGNAL \u4|Equal0~6_combout\ : std_logic;
SIGNAL \u4|Add0~16_combout\ : std_logic;
SIGNAL \u4|Add0~4_combout\ : std_logic;
SIGNAL \u4|Equal0~0_combout\ : std_logic;
SIGNAL \u4|Equal0~2_combout\ : std_logic;
SIGNAL \u4|Add0~30_combout\ : std_logic;
SIGNAL \u4|Equal0~3_combout\ : std_logic;
SIGNAL \u4|Equal0~4_combout\ : std_logic;
SIGNAL \u4|Equal0~7_combout\ : std_logic;
SIGNAL \u4|Flash_Memory_Clock~1_combout\ : std_logic;
SIGNAL \u4|Flash_Memory_Clock~regout\ : std_logic;
SIGNAL \u4|Flash_Memory_Clock~clkctrl_outclk\ : std_logic;
SIGNAL \FLASH_MEMORY_ADDRESS_22[0]~21_combout\ : std_logic;
SIGNAL \u4|FLASH_MEMORY_ADDRESS[0]~feeder_combout\ : std_logic;
SIGNAL \u4|FLASH_MEMORY_ADDRESS[14]~0_combout\ : std_logic;
SIGNAL \FLASH_MEMORY_ADDRESS_22[1]~22_combout\ : std_logic;
SIGNAL \Equal0~0_combout\ : std_logic;
SIGNAL \u4|FLASH_MEMORY_ADDRESS[1]~feeder_combout\ : std_logic;
SIGNAL \FLASH_MEMORY_ADDRESS_22[1]~23\ : std_logic;
SIGNAL \FLASH_MEMORY_ADDRESS_22[2]~24_combout\ : std_logic;
SIGNAL \FLASH_MEMORY_ADDRESS_22[2]~25\ : std_logic;
SIGNAL \FLASH_MEMORY_ADDRESS_22[3]~26_combout\ : std_logic;
SIGNAL \FLASH_MEMORY_ADDRESS_22[3]~27\ : std_logic;
SIGNAL \FLASH_MEMORY_ADDRESS_22[4]~28_combout\ : std_logic;
SIGNAL \u4|FLASH_MEMORY_ADDRESS[4]~feeder_combout\ : std_logic;
SIGNAL \FLASH_MEMORY_ADDRESS_22[4]~29\ : std_logic;
SIGNAL \FLASH_MEMORY_ADDRESS_22[5]~30_combout\ : std_logic;
SIGNAL \u4|FLASH_MEMORY_ADDRESS[5]~feeder_combout\ : std_logic;
SIGNAL \FLASH_MEMORY_ADDRESS_22[5]~31\ : std_logic;
SIGNAL \FLASH_MEMORY_ADDRESS_22[6]~32_combout\ : std_logic;
SIGNAL \FLASH_MEMORY_ADDRESS_22[6]~33\ : std_logic;
SIGNAL \FLASH_MEMORY_ADDRESS_22[7]~34_combout\ : std_logic;
SIGNAL \FLASH_MEMORY_ADDRESS_22[7]~35\ : std_logic;
SIGNAL \FLASH_MEMORY_ADDRESS_22[8]~36_combout\ : std_logic;
SIGNAL \FLASH_MEMORY_ADDRESS_22[8]~37\ : std_logic;
SIGNAL \FLASH_MEMORY_ADDRESS_22[9]~38_combout\ : std_logic;
SIGNAL \u4|FLASH_MEMORY_ADDRESS[9]~feeder_combout\ : std_logic;
SIGNAL \FLASH_MEMORY_ADDRESS_22[9]~39\ : std_logic;
SIGNAL \FLASH_MEMORY_ADDRESS_22[10]~40_combout\ : std_logic;
SIGNAL \u4|FLASH_MEMORY_ADDRESS[10]~feeder_combout\ : std_logic;
SIGNAL \FLASH_MEMORY_ADDRESS_22[10]~41\ : std_logic;
SIGNAL \FLASH_MEMORY_ADDRESS_22[11]~42_combout\ : std_logic;
SIGNAL \u4|FLASH_MEMORY_ADDRESS[11]~feeder_combout\ : std_logic;
SIGNAL \FLASH_MEMORY_ADDRESS_22[11]~43\ : std_logic;
SIGNAL \FLASH_MEMORY_ADDRESS_22[12]~44_combout\ : std_logic;
SIGNAL \u4|FLASH_MEMORY_ADDRESS[12]~feeder_combout\ : std_logic;
SIGNAL \FLASH_MEMORY_ADDRESS_22[12]~45\ : std_logic;
SIGNAL \FLASH_MEMORY_ADDRESS_22[13]~46_combout\ : std_logic;
SIGNAL \FLASH_MEMORY_ADDRESS_22[13]~47\ : std_logic;
SIGNAL \FLASH_MEMORY_ADDRESS_22[14]~48_combout\ : std_logic;
SIGNAL \u4|FLASH_MEMORY_ADDRESS[14]~feeder_combout\ : std_logic;
SIGNAL \FLASH_MEMORY_ADDRESS_22[14]~49\ : std_logic;
SIGNAL \FLASH_MEMORY_ADDRESS_22[15]~50_combout\ : std_logic;
SIGNAL \FLASH_MEMORY_ADDRESS_22[15]~51\ : std_logic;
SIGNAL \FLASH_MEMORY_ADDRESS_22[16]~52_combout\ : std_logic;
SIGNAL \FLASH_MEMORY_ADDRESS_22[16]~53\ : std_logic;
SIGNAL \FLASH_MEMORY_ADDRESS_22[17]~54_combout\ : std_logic;
SIGNAL \FLASH_MEMORY_ADDRESS_22[17]~55\ : std_logic;
SIGNAL \FLASH_MEMORY_ADDRESS_22[18]~56_combout\ : std_logic;
SIGNAL \FLASH_MEMORY_ADDRESS_22[18]~57\ : std_logic;
SIGNAL \FLASH_MEMORY_ADDRESS_22[19]~58_combout\ : std_logic;
SIGNAL \FLASH_MEMORY_ADDRESS_22[19]~59\ : std_logic;
SIGNAL \FLASH_MEMORY_ADDRESS_22[20]~60_combout\ : std_logic;
SIGNAL \u4|FLASH_MEMORY_ADDRESS[20]~feeder_combout\ : std_logic;
SIGNAL \FLASH_MEMORY_ADDRESS_22[20]~61\ : std_logic;
SIGNAL \FLASH_MEMORY_ADDRESS_22[21]~62_combout\ : std_logic;
SIGNAL \u4|FLASH_MEMORY_ADDRESS[21]~feeder_combout\ : std_logic;
SIGNAL \u4|Mux3~2_combout\ : std_logic;
SIGNAL \u4|FLASH_MEMORY_nOE~regout\ : std_logic;
SIGNAL \u4|Mux4~2_combout\ : std_logic;
SIGNAL \u4|FLASH_MEMORY_nCE~regout\ : std_logic;
SIGNAL \u4|Counter\ : std_logic_vector(24 DOWNTO 0);
SIGNAL \u4|FLASH_MEMORY_DATA_OUT\ : std_logic_vector(7 DOWNTO 0);
SIGNAL \u3|Active_Module\ : std_logic_vector(0 DOWNTO 0);
SIGNAL \u3|I2S_Stream_Counter\ : std_logic_vector(5 DOWNTO 0);
SIGNAL \u2|Counter\ : std_logic_vector(24 DOWNTO 0);
SIGNAL ADPCM_Bit_Counter : std_logic_vector(2 DOWNTO 0);
SIGNAL Counter : std_logic_vector(24 DOWNTO 0);
SIGNAL I2C_REGISTER_ADDRESS : std_logic_vector(7 DOWNTO 0);
SIGNAL I2C_Stream_Counter : std_logic_vector(2 DOWNTO 0);
SIGNAL \u6|Last_PCM_Data\ : std_logic_vector(16 DOWNTO 0);
SIGNAL \u6|PCM_Data\ : std_logic_vector(15 DOWNTO 0);
SIGNAL \u5|Last_PCM_Data\ : std_logic_vector(16 DOWNTO 0);
SIGNAL \u5|PCM_DATA_OUT\ : std_logic_vector(15 DOWNTO 0);
SIGNAL \u5|PCM_Data\ : std_logic_vector(15 DOWNTO 0);
SIGNAL \u5|PCM_Data_Difference\ : std_logic_vector(16 DOWNTO 0);
SIGNAL \u4|FLASH_MEMORY_ADDRESS\ : std_logic_vector(21 DOWNTO 0);
SIGNAL \u4|Flash_Memory_Counter\ : std_logic_vector(2 DOWNTO 0);
SIGNAL \u3|Counter\ : std_logic_vector(24 DOWNTO 0);
SIGNAL \u2|I2C_Stream_Counter\ : std_logic_vector(6 DOWNTO 0);
SIGNAL AUDIO_CODEC_VOLUME : std_logic_vector(6 DOWNTO 0);
SIGNAL FLASH_MEMORY_ADDRESS_22 : std_logic_vector(21 DOWNTO 0);
SIGNAL I2C_REGISTER_DATA : std_logic_vector(7 DOWNTO 0);
SIGNAL Red_LEDs_Bar : std_logic_vector(3 DOWNTO 0);
SIGNAL \u6|PCM_DATA_OUT\ : std_logic_vector(15 DOWNTO 0);
SIGNAL \u6|ADPCM_Decoder_State_Counter\ : std_logic_vector(2 DOWNTO 0);
SIGNAL \u6|PCM_Data_Difference\ : std_logic_vector(16 DOWNTO 0);
SIGNAL \u1|ALT_INV_Mux0~4_combout\ : std_logic;
SIGNAL \u1|ALT_INV_Mux0~5_combout\ : std_logic;
SIGNAL \u1|ALT_INV_Mux3~1_combout\ : std_logic;
SIGNAL \u3|ALT_INV_I2S_Clock~regout\ : std_logic;
SIGNAL \ALT_INV_I2C_ACTIVE_IN~regout\ : std_logic;
SIGNAL \ALT_INV_I2S_ACTIVE_IN~regout\ : std_logic;
SIGNAL \u4|ALT_INV_Flash_Memory_Clock~clkctrl_outclk\ : std_logic;
SIGNAL \u3|ALT_INV_I2S_Clock~clkctrl_outclk\ : std_logic;

BEGIN

ww_CLOCK_IN <= CLOCK_IN;
S_SEVEN_SEGMENT_1_OUT <= ww_S_SEVEN_SEGMENT_1_OUT;
S_SEVEN_SEGMENT_2_OUT <= ww_S_SEVEN_SEGMENT_2_OUT;
S_SEVEN_SEGMENT_3_OUT <= ww_S_SEVEN_SEGMENT_3_OUT;
S_SEVEN_SEGMENT_4_OUT <= ww_S_SEVEN_SEGMENT_4_OUT;
S_RED_LEDS_OUT <= ww_S_RED_LEDS_OUT;
I2C_CLOCK_OUT <= ww_I2C_CLOCK_OUT;
I2S_LEFT_RIGHT_CLOCK_OUT <= ww_I2S_LEFT_RIGHT_CLOCK_OUT;
I2S_CLOCK_OUT <= ww_I2S_CLOCK_OUT;
I2S_CORE_CLOCK_OUT <= ww_I2S_CORE_CLOCK_OUT;
ww_SWITCH_0 <= SWITCH_0;
ww_KEY_0 <= KEY_0;
ww_KEY_1 <= KEY_1;
FLASH_MEMORY_ADDRESS_OUT <= ww_FLASH_MEMORY_ADDRESS_OUT;
FLASH_MEMORY_nWE_OUT <= ww_FLASH_MEMORY_nWE_OUT;
FLASH_MEMORY_nOE_OUT <= ww_FLASH_MEMORY_nOE_OUT;
FLASH_MEMORY_nRESET_OUT <= ww_FLASH_MEMORY_nRESET_OUT;
FLASH_MEMORY_nCE_OUT <= ww_FLASH_MEMORY_nCE_OUT;
ww_devoe <= devoe;
ww_devclrn <= devclrn;
ww_devpor <= devpor;

\u5|Mult0|auto_generated|mac_out2_DATAA_bus\ <= (\u5|Mult0|auto_generated|mac_mult1~DATAOUT19\ & \u5|Mult0|auto_generated|mac_mult1~DATAOUT18\ & \u5|Mult0|auto_generated|mac_mult1~DATAOUT17\ & \u5|Mult0|auto_generated|mac_mult1~DATAOUT16\ & 
\u5|Mult0|auto_generated|mac_mult1~DATAOUT15\ & \u5|Mult0|auto_generated|mac_mult1~DATAOUT14\ & \u5|Mult0|auto_generated|mac_mult1~DATAOUT13\ & \u5|Mult0|auto_generated|mac_mult1~DATAOUT12\ & \u5|Mult0|auto_generated|mac_mult1~DATAOUT11\ & 
\u5|Mult0|auto_generated|mac_mult1~DATAOUT10\ & \u5|Mult0|auto_generated|mac_mult1~DATAOUT9\ & \u5|Mult0|auto_generated|mac_mult1~DATAOUT8\ & \u5|Mult0|auto_generated|mac_mult1~DATAOUT7\ & \u5|Mult0|auto_generated|mac_mult1~DATAOUT6\ & 
\u5|Mult0|auto_generated|mac_mult1~DATAOUT5\ & \u5|Mult0|auto_generated|mac_mult1~DATAOUT4\ & \u5|Mult0|auto_generated|mac_mult1~DATAOUT3\ & \u5|Mult0|auto_generated|mac_mult1~DATAOUT2\ & \u5|Mult0|auto_generated|mac_mult1~DATAOUT1\ & 
\u5|Mult0|auto_generated|mac_mult1~dataout\ & \u5|Mult0|auto_generated|mac_mult1~15\ & \u5|Mult0|auto_generated|mac_mult1~14\ & \u5|Mult0|auto_generated|mac_mult1~13\ & \u5|Mult0|auto_generated|mac_mult1~12\ & \u5|Mult0|auto_generated|mac_mult1~11\ & 
\u5|Mult0|auto_generated|mac_mult1~10\ & \u5|Mult0|auto_generated|mac_mult1~9\ & \u5|Mult0|auto_generated|mac_mult1~8\ & \u5|Mult0|auto_generated|mac_mult1~7\ & \u5|Mult0|auto_generated|mac_mult1~6\ & \u5|Mult0|auto_generated|mac_mult1~5\ & 
\u5|Mult0|auto_generated|mac_mult1~4\ & \u5|Mult0|auto_generated|mac_mult1~3\ & \u5|Mult0|auto_generated|mac_mult1~2\ & \u5|Mult0|auto_generated|mac_mult1~1\ & \u5|Mult0|auto_generated|mac_mult1~0\);

\u5|Mult0|auto_generated|mac_out2~0\ <= \u5|Mult0|auto_generated|mac_out2_DATAOUT_bus\(0);
\u5|Mult0|auto_generated|mac_out2~1\ <= \u5|Mult0|auto_generated|mac_out2_DATAOUT_bus\(1);
\u5|Mult0|auto_generated|mac_out2~2\ <= \u5|Mult0|auto_generated|mac_out2_DATAOUT_bus\(2);
\u5|Mult0|auto_generated|mac_out2~3\ <= \u5|Mult0|auto_generated|mac_out2_DATAOUT_bus\(3);
\u5|Mult0|auto_generated|mac_out2~4\ <= \u5|Mult0|auto_generated|mac_out2_DATAOUT_bus\(4);
\u5|Mult0|auto_generated|mac_out2~5\ <= \u5|Mult0|auto_generated|mac_out2_DATAOUT_bus\(5);
\u5|Mult0|auto_generated|mac_out2~6\ <= \u5|Mult0|auto_generated|mac_out2_DATAOUT_bus\(6);
\u5|Mult0|auto_generated|mac_out2~7\ <= \u5|Mult0|auto_generated|mac_out2_DATAOUT_bus\(7);
\u5|Mult0|auto_generated|mac_out2~8\ <= \u5|Mult0|auto_generated|mac_out2_DATAOUT_bus\(8);
\u5|Mult0|auto_generated|mac_out2~9\ <= \u5|Mult0|auto_generated|mac_out2_DATAOUT_bus\(9);
\u5|Mult0|auto_generated|mac_out2~10\ <= \u5|Mult0|auto_generated|mac_out2_DATAOUT_bus\(10);
\u5|Mult0|auto_generated|mac_out2~11\ <= \u5|Mult0|auto_generated|mac_out2_DATAOUT_bus\(11);
\u5|Mult0|auto_generated|mac_out2~12\ <= \u5|Mult0|auto_generated|mac_out2_DATAOUT_bus\(12);
\u5|Mult0|auto_generated|mac_out2~13\ <= \u5|Mult0|auto_generated|mac_out2_DATAOUT_bus\(13);
\u5|Mult0|auto_generated|mac_out2~14\ <= \u5|Mult0|auto_generated|mac_out2_DATAOUT_bus\(14);
\u5|Mult0|auto_generated|mac_out2~15\ <= \u5|Mult0|auto_generated|mac_out2_DATAOUT_bus\(15);
\u5|Mult0|auto_generated|mac_out2~dataout\ <= \u5|Mult0|auto_generated|mac_out2_DATAOUT_bus\(16);
\u5|Mult0|auto_generated|mac_out2~DATAOUT1\ <= \u5|Mult0|auto_generated|mac_out2_DATAOUT_bus\(17);
\u5|Mult0|auto_generated|mac_out2~DATAOUT2\ <= \u5|Mult0|auto_generated|mac_out2_DATAOUT_bus\(18);
\u5|Mult0|auto_generated|mac_out2~DATAOUT3\ <= \u5|Mult0|auto_generated|mac_out2_DATAOUT_bus\(19);
\u5|Mult0|auto_generated|mac_out2~DATAOUT4\ <= \u5|Mult0|auto_generated|mac_out2_DATAOUT_bus\(20);
\u5|Mult0|auto_generated|mac_out2~DATAOUT5\ <= \u5|Mult0|auto_generated|mac_out2_DATAOUT_bus\(21);
\u5|Mult0|auto_generated|mac_out2~DATAOUT6\ <= \u5|Mult0|auto_generated|mac_out2_DATAOUT_bus\(22);
\u5|Mult0|auto_generated|mac_out2~DATAOUT7\ <= \u5|Mult0|auto_generated|mac_out2_DATAOUT_bus\(23);
\u5|Mult0|auto_generated|mac_out2~DATAOUT8\ <= \u5|Mult0|auto_generated|mac_out2_DATAOUT_bus\(24);
\u5|Mult0|auto_generated|mac_out2~DATAOUT9\ <= \u5|Mult0|auto_generated|mac_out2_DATAOUT_bus\(25);
\u5|Mult0|auto_generated|mac_out2~DATAOUT10\ <= \u5|Mult0|auto_generated|mac_out2_DATAOUT_bus\(26);
\u5|Mult0|auto_generated|mac_out2~DATAOUT11\ <= \u5|Mult0|auto_generated|mac_out2_DATAOUT_bus\(27);
\u5|Mult0|auto_generated|mac_out2~DATAOUT12\ <= \u5|Mult0|auto_generated|mac_out2_DATAOUT_bus\(28);
\u5|Mult0|auto_generated|mac_out2~DATAOUT13\ <= \u5|Mult0|auto_generated|mac_out2_DATAOUT_bus\(29);
\u5|Mult0|auto_generated|mac_out2~DATAOUT14\ <= \u5|Mult0|auto_generated|mac_out2_DATAOUT_bus\(30);
\u5|Mult0|auto_generated|mac_out2~DATAOUT15\ <= \u5|Mult0|auto_generated|mac_out2_DATAOUT_bus\(31);
\u5|Mult0|auto_generated|mac_out2~DATAOUT16\ <= \u5|Mult0|auto_generated|mac_out2_DATAOUT_bus\(32);
\u5|Mult0|auto_generated|mac_out2~DATAOUT17\ <= \u5|Mult0|auto_generated|mac_out2_DATAOUT_bus\(33);
\u5|Mult0|auto_generated|mac_out2~DATAOUT18\ <= \u5|Mult0|auto_generated|mac_out2_DATAOUT_bus\(34);
\u5|Mult0|auto_generated|mac_out2~DATAOUT19\ <= \u5|Mult0|auto_generated|mac_out2_DATAOUT_bus\(35);

\u5|Mult0|auto_generated|mac_mult1_DATAA_bus\ <= (\u5|ADPCM_Decoder_Step_Size_Table_Pointer[9]~62_combout\ & \u5|ADPCM_Decoder_Step_Size_Table_Pointer[8]~60_combout\ & \u5|ADPCM_Decoder_Step_Size_Table_Pointer[7]~58_combout\ & 
\u5|ADPCM_Decoder_Step_Size_Table_Pointer[6]~56_combout\ & \u5|ADPCM_Decoder_Step_Size_Table_Pointer[5]~54_combout\ & \u5|ADPCM_Decoder_Step_Size_Table_Pointer[4]~52_combout\ & \u5|ADPCM_Decoder_Step_Size_Table_Pointer[3]~50_combout\ & 
\u5|ADPCM_Decoder_Step_Size_Table_Pointer[2]~48_combout\ & \u5|ADPCM_Decoder_Step_Size_Table_Pointer[1]~46_combout\ & \u5|ADPCM_Decoder_Step_Size_Table_Pointer[0]~40_combout\ & gnd & gnd & gnd & gnd & gnd & gnd & gnd & gnd);

\u5|Mult0|auto_generated|mac_mult1_DATAB_bus\ <= (\u5|ADPCM_Decoder_Step_Size_Table_Pointer[9]~62_combout\ & \u5|ADPCM_Decoder_Step_Size_Table_Pointer[8]~60_combout\ & \u5|ADPCM_Decoder_Step_Size_Table_Pointer[7]~58_combout\ & 
\u5|ADPCM_Decoder_Step_Size_Table_Pointer[6]~56_combout\ & \u5|ADPCM_Decoder_Step_Size_Table_Pointer[5]~54_combout\ & \u5|ADPCM_Decoder_Step_Size_Table_Pointer[4]~52_combout\ & \u5|ADPCM_Decoder_Step_Size_Table_Pointer[3]~50_combout\ & 
\u5|ADPCM_Decoder_Step_Size_Table_Pointer[2]~48_combout\ & \u5|ADPCM_Decoder_Step_Size_Table_Pointer[1]~46_combout\ & \u5|ADPCM_Decoder_Step_Size_Table_Pointer[0]~40_combout\ & gnd & gnd & gnd & gnd & gnd & gnd & gnd & gnd);

\u5|Mult0|auto_generated|mac_mult1~0\ <= \u5|Mult0|auto_generated|mac_mult1_DATAOUT_bus\(0);
\u5|Mult0|auto_generated|mac_mult1~1\ <= \u5|Mult0|auto_generated|mac_mult1_DATAOUT_bus\(1);
\u5|Mult0|auto_generated|mac_mult1~2\ <= \u5|Mult0|auto_generated|mac_mult1_DATAOUT_bus\(2);
\u5|Mult0|auto_generated|mac_mult1~3\ <= \u5|Mult0|auto_generated|mac_mult1_DATAOUT_bus\(3);
\u5|Mult0|auto_generated|mac_mult1~4\ <= \u5|Mult0|auto_generated|mac_mult1_DATAOUT_bus\(4);
\u5|Mult0|auto_generated|mac_mult1~5\ <= \u5|Mult0|auto_generated|mac_mult1_DATAOUT_bus\(5);
\u5|Mult0|auto_generated|mac_mult1~6\ <= \u5|Mult0|auto_generated|mac_mult1_DATAOUT_bus\(6);
\u5|Mult0|auto_generated|mac_mult1~7\ <= \u5|Mult0|auto_generated|mac_mult1_DATAOUT_bus\(7);
\u5|Mult0|auto_generated|mac_mult1~8\ <= \u5|Mult0|auto_generated|mac_mult1_DATAOUT_bus\(8);
\u5|Mult0|auto_generated|mac_mult1~9\ <= \u5|Mult0|auto_generated|mac_mult1_DATAOUT_bus\(9);
\u5|Mult0|auto_generated|mac_mult1~10\ <= \u5|Mult0|auto_generated|mac_mult1_DATAOUT_bus\(10);
\u5|Mult0|auto_generated|mac_mult1~11\ <= \u5|Mult0|auto_generated|mac_mult1_DATAOUT_bus\(11);
\u5|Mult0|auto_generated|mac_mult1~12\ <= \u5|Mult0|auto_generated|mac_mult1_DATAOUT_bus\(12);
\u5|Mult0|auto_generated|mac_mult1~13\ <= \u5|Mult0|auto_generated|mac_mult1_DATAOUT_bus\(13);
\u5|Mult0|auto_generated|mac_mult1~14\ <= \u5|Mult0|auto_generated|mac_mult1_DATAOUT_bus\(14);
\u5|Mult0|auto_generated|mac_mult1~15\ <= \u5|Mult0|auto_generated|mac_mult1_DATAOUT_bus\(15);
\u5|Mult0|auto_generated|mac_mult1~dataout\ <= \u5|Mult0|auto_generated|mac_mult1_DATAOUT_bus\(16);
\u5|Mult0|auto_generated|mac_mult1~DATAOUT1\ <= \u5|Mult0|auto_generated|mac_mult1_DATAOUT_bus\(17);
\u5|Mult0|auto_generated|mac_mult1~DATAOUT2\ <= \u5|Mult0|auto_generated|mac_mult1_DATAOUT_bus\(18);
\u5|Mult0|auto_generated|mac_mult1~DATAOUT3\ <= \u5|Mult0|auto_generated|mac_mult1_DATAOUT_bus\(19);
\u5|Mult0|auto_generated|mac_mult1~DATAOUT4\ <= \u5|Mult0|auto_generated|mac_mult1_DATAOUT_bus\(20);
\u5|Mult0|auto_generated|mac_mult1~DATAOUT5\ <= \u5|Mult0|auto_generated|mac_mult1_DATAOUT_bus\(21);
\u5|Mult0|auto_generated|mac_mult1~DATAOUT6\ <= \u5|Mult0|auto_generated|mac_mult1_DATAOUT_bus\(22);
\u5|Mult0|auto_generated|mac_mult1~DATAOUT7\ <= \u5|Mult0|auto_generated|mac_mult1_DATAOUT_bus\(23);
\u5|Mult0|auto_generated|mac_mult1~DATAOUT8\ <= \u5|Mult0|auto_generated|mac_mult1_DATAOUT_bus\(24);
\u5|Mult0|auto_generated|mac_mult1~DATAOUT9\ <= \u5|Mult0|auto_generated|mac_mult1_DATAOUT_bus\(25);
\u5|Mult0|auto_generated|mac_mult1~DATAOUT10\ <= \u5|Mult0|auto_generated|mac_mult1_DATAOUT_bus\(26);
\u5|Mult0|auto_generated|mac_mult1~DATAOUT11\ <= \u5|Mult0|auto_generated|mac_mult1_DATAOUT_bus\(27);
\u5|Mult0|auto_generated|mac_mult1~DATAOUT12\ <= \u5|Mult0|auto_generated|mac_mult1_DATAOUT_bus\(28);
\u5|Mult0|auto_generated|mac_mult1~DATAOUT13\ <= \u5|Mult0|auto_generated|mac_mult1_DATAOUT_bus\(29);
\u5|Mult0|auto_generated|mac_mult1~DATAOUT14\ <= \u5|Mult0|auto_generated|mac_mult1_DATAOUT_bus\(30);
\u5|Mult0|auto_generated|mac_mult1~DATAOUT15\ <= \u5|Mult0|auto_generated|mac_mult1_DATAOUT_bus\(31);
\u5|Mult0|auto_generated|mac_mult1~DATAOUT16\ <= \u5|Mult0|auto_generated|mac_mult1_DATAOUT_bus\(32);
\u5|Mult0|auto_generated|mac_mult1~DATAOUT17\ <= \u5|Mult0|auto_generated|mac_mult1_DATAOUT_bus\(33);
\u5|Mult0|auto_generated|mac_mult1~DATAOUT18\ <= \u5|Mult0|auto_generated|mac_mult1_DATAOUT_bus\(34);
\u5|Mult0|auto_generated|mac_mult1~DATAOUT19\ <= \u5|Mult0|auto_generated|mac_mult1_DATAOUT_bus\(35);

\u6|Mult0|auto_generated|mac_out2_DATAA_bus\ <= (\u6|Mult0|auto_generated|mac_mult1~DATAOUT19\ & \u6|Mult0|auto_generated|mac_mult1~DATAOUT18\ & \u6|Mult0|auto_generated|mac_mult1~DATAOUT17\ & \u6|Mult0|auto_generated|mac_mult1~DATAOUT16\ & 
\u6|Mult0|auto_generated|mac_mult1~DATAOUT15\ & \u6|Mult0|auto_generated|mac_mult1~DATAOUT14\ & \u6|Mult0|auto_generated|mac_mult1~DATAOUT13\ & \u6|Mult0|auto_generated|mac_mult1~DATAOUT12\ & \u6|Mult0|auto_generated|mac_mult1~DATAOUT11\ & 
\u6|Mult0|auto_generated|mac_mult1~DATAOUT10\ & \u6|Mult0|auto_generated|mac_mult1~DATAOUT9\ & \u6|Mult0|auto_generated|mac_mult1~DATAOUT8\ & \u6|Mult0|auto_generated|mac_mult1~DATAOUT7\ & \u6|Mult0|auto_generated|mac_mult1~DATAOUT6\ & 
\u6|Mult0|auto_generated|mac_mult1~DATAOUT5\ & \u6|Mult0|auto_generated|mac_mult1~DATAOUT4\ & \u6|Mult0|auto_generated|mac_mult1~DATAOUT3\ & \u6|Mult0|auto_generated|mac_mult1~DATAOUT2\ & \u6|Mult0|auto_generated|mac_mult1~DATAOUT1\ & 
\u6|Mult0|auto_generated|mac_mult1~dataout\ & \u6|Mult0|auto_generated|mac_mult1~15\ & \u6|Mult0|auto_generated|mac_mult1~14\ & \u6|Mult0|auto_generated|mac_mult1~13\ & \u6|Mult0|auto_generated|mac_mult1~12\ & \u6|Mult0|auto_generated|mac_mult1~11\ & 
\u6|Mult0|auto_generated|mac_mult1~10\ & \u6|Mult0|auto_generated|mac_mult1~9\ & \u6|Mult0|auto_generated|mac_mult1~8\ & \u6|Mult0|auto_generated|mac_mult1~7\ & \u6|Mult0|auto_generated|mac_mult1~6\ & \u6|Mult0|auto_generated|mac_mult1~5\ & 
\u6|Mult0|auto_generated|mac_mult1~4\ & \u6|Mult0|auto_generated|mac_mult1~3\ & \u6|Mult0|auto_generated|mac_mult1~2\ & \u6|Mult0|auto_generated|mac_mult1~1\ & \u6|Mult0|auto_generated|mac_mult1~0\);

\u6|Mult0|auto_generated|mac_out2~0\ <= \u6|Mult0|auto_generated|mac_out2_DATAOUT_bus\(0);
\u6|Mult0|auto_generated|mac_out2~1\ <= \u6|Mult0|auto_generated|mac_out2_DATAOUT_bus\(1);
\u6|Mult0|auto_generated|mac_out2~2\ <= \u6|Mult0|auto_generated|mac_out2_DATAOUT_bus\(2);
\u6|Mult0|auto_generated|mac_out2~3\ <= \u6|Mult0|auto_generated|mac_out2_DATAOUT_bus\(3);
\u6|Mult0|auto_generated|mac_out2~4\ <= \u6|Mult0|auto_generated|mac_out2_DATAOUT_bus\(4);
\u6|Mult0|auto_generated|mac_out2~5\ <= \u6|Mult0|auto_generated|mac_out2_DATAOUT_bus\(5);
\u6|Mult0|auto_generated|mac_out2~6\ <= \u6|Mult0|auto_generated|mac_out2_DATAOUT_bus\(6);
\u6|Mult0|auto_generated|mac_out2~7\ <= \u6|Mult0|auto_generated|mac_out2_DATAOUT_bus\(7);
\u6|Mult0|auto_generated|mac_out2~8\ <= \u6|Mult0|auto_generated|mac_out2_DATAOUT_bus\(8);
\u6|Mult0|auto_generated|mac_out2~9\ <= \u6|Mult0|auto_generated|mac_out2_DATAOUT_bus\(9);
\u6|Mult0|auto_generated|mac_out2~10\ <= \u6|Mult0|auto_generated|mac_out2_DATAOUT_bus\(10);
\u6|Mult0|auto_generated|mac_out2~11\ <= \u6|Mult0|auto_generated|mac_out2_DATAOUT_bus\(11);
\u6|Mult0|auto_generated|mac_out2~12\ <= \u6|Mult0|auto_generated|mac_out2_DATAOUT_bus\(12);
\u6|Mult0|auto_generated|mac_out2~13\ <= \u6|Mult0|auto_generated|mac_out2_DATAOUT_bus\(13);
\u6|Mult0|auto_generated|mac_out2~14\ <= \u6|Mult0|auto_generated|mac_out2_DATAOUT_bus\(14);
\u6|Mult0|auto_generated|mac_out2~15\ <= \u6|Mult0|auto_generated|mac_out2_DATAOUT_bus\(15);
\u6|Mult0|auto_generated|mac_out2~dataout\ <= \u6|Mult0|auto_generated|mac_out2_DATAOUT_bus\(16);
\u6|Mult0|auto_generated|mac_out2~DATAOUT1\ <= \u6|Mult0|auto_generated|mac_out2_DATAOUT_bus\(17);
\u6|Mult0|auto_generated|mac_out2~DATAOUT2\ <= \u6|Mult0|auto_generated|mac_out2_DATAOUT_bus\(18);
\u6|Mult0|auto_generated|mac_out2~DATAOUT3\ <= \u6|Mult0|auto_generated|mac_out2_DATAOUT_bus\(19);
\u6|Mult0|auto_generated|mac_out2~DATAOUT4\ <= \u6|Mult0|auto_generated|mac_out2_DATAOUT_bus\(20);
\u6|Mult0|auto_generated|mac_out2~DATAOUT5\ <= \u6|Mult0|auto_generated|mac_out2_DATAOUT_bus\(21);
\u6|Mult0|auto_generated|mac_out2~DATAOUT6\ <= \u6|Mult0|auto_generated|mac_out2_DATAOUT_bus\(22);
\u6|Mult0|auto_generated|mac_out2~DATAOUT7\ <= \u6|Mult0|auto_generated|mac_out2_DATAOUT_bus\(23);
\u6|Mult0|auto_generated|mac_out2~DATAOUT8\ <= \u6|Mult0|auto_generated|mac_out2_DATAOUT_bus\(24);
\u6|Mult0|auto_generated|mac_out2~DATAOUT9\ <= \u6|Mult0|auto_generated|mac_out2_DATAOUT_bus\(25);
\u6|Mult0|auto_generated|mac_out2~DATAOUT10\ <= \u6|Mult0|auto_generated|mac_out2_DATAOUT_bus\(26);
\u6|Mult0|auto_generated|mac_out2~DATAOUT11\ <= \u6|Mult0|auto_generated|mac_out2_DATAOUT_bus\(27);
\u6|Mult0|auto_generated|mac_out2~DATAOUT12\ <= \u6|Mult0|auto_generated|mac_out2_DATAOUT_bus\(28);
\u6|Mult0|auto_generated|mac_out2~DATAOUT13\ <= \u6|Mult0|auto_generated|mac_out2_DATAOUT_bus\(29);
\u6|Mult0|auto_generated|mac_out2~DATAOUT14\ <= \u6|Mult0|auto_generated|mac_out2_DATAOUT_bus\(30);
\u6|Mult0|auto_generated|mac_out2~DATAOUT15\ <= \u6|Mult0|auto_generated|mac_out2_DATAOUT_bus\(31);
\u6|Mult0|auto_generated|mac_out2~DATAOUT16\ <= \u6|Mult0|auto_generated|mac_out2_DATAOUT_bus\(32);
\u6|Mult0|auto_generated|mac_out2~DATAOUT17\ <= \u6|Mult0|auto_generated|mac_out2_DATAOUT_bus\(33);
\u6|Mult0|auto_generated|mac_out2~DATAOUT18\ <= \u6|Mult0|auto_generated|mac_out2_DATAOUT_bus\(34);
\u6|Mult0|auto_generated|mac_out2~DATAOUT19\ <= \u6|Mult0|auto_generated|mac_out2_DATAOUT_bus\(35);

\u6|Mult0|auto_generated|mac_mult1_DATAA_bus\ <= (\u6|ADPCM_Decoder_Step_Size_Table_Pointer[9]~62_combout\ & \u6|ADPCM_Decoder_Step_Size_Table_Pointer[8]~60_combout\ & \u6|ADPCM_Decoder_Step_Size_Table_Pointer[7]~58_combout\ & 
\u6|ADPCM_Decoder_Step_Size_Table_Pointer[6]~56_combout\ & \u6|ADPCM_Decoder_Step_Size_Table_Pointer[5]~54_combout\ & \u6|ADPCM_Decoder_Step_Size_Table_Pointer[4]~52_combout\ & \u6|ADPCM_Decoder_Step_Size_Table_Pointer[3]~50_combout\ & 
\u6|ADPCM_Decoder_Step_Size_Table_Pointer[2]~48_combout\ & \u6|ADPCM_Decoder_Step_Size_Table_Pointer[1]~46_combout\ & \u6|ADPCM_Decoder_Step_Size_Table_Pointer[0]~40_combout\ & gnd & gnd & gnd & gnd & gnd & gnd & gnd & gnd);

\u6|Mult0|auto_generated|mac_mult1_DATAB_bus\ <= (\u6|ADPCM_Decoder_Step_Size_Table_Pointer[9]~62_combout\ & \u6|ADPCM_Decoder_Step_Size_Table_Pointer[8]~60_combout\ & \u6|ADPCM_Decoder_Step_Size_Table_Pointer[7]~58_combout\ & 
\u6|ADPCM_Decoder_Step_Size_Table_Pointer[6]~56_combout\ & \u6|ADPCM_Decoder_Step_Size_Table_Pointer[5]~54_combout\ & \u6|ADPCM_Decoder_Step_Size_Table_Pointer[4]~52_combout\ & \u6|ADPCM_Decoder_Step_Size_Table_Pointer[3]~50_combout\ & 
\u6|ADPCM_Decoder_Step_Size_Table_Pointer[2]~48_combout\ & \u6|ADPCM_Decoder_Step_Size_Table_Pointer[1]~46_combout\ & \u6|ADPCM_Decoder_Step_Size_Table_Pointer[0]~40_combout\ & gnd & gnd & gnd & gnd & gnd & gnd & gnd & gnd);

\u6|Mult0|auto_generated|mac_mult1~0\ <= \u6|Mult0|auto_generated|mac_mult1_DATAOUT_bus\(0);
\u6|Mult0|auto_generated|mac_mult1~1\ <= \u6|Mult0|auto_generated|mac_mult1_DATAOUT_bus\(1);
\u6|Mult0|auto_generated|mac_mult1~2\ <= \u6|Mult0|auto_generated|mac_mult1_DATAOUT_bus\(2);
\u6|Mult0|auto_generated|mac_mult1~3\ <= \u6|Mult0|auto_generated|mac_mult1_DATAOUT_bus\(3);
\u6|Mult0|auto_generated|mac_mult1~4\ <= \u6|Mult0|auto_generated|mac_mult1_DATAOUT_bus\(4);
\u6|Mult0|auto_generated|mac_mult1~5\ <= \u6|Mult0|auto_generated|mac_mult1_DATAOUT_bus\(5);
\u6|Mult0|auto_generated|mac_mult1~6\ <= \u6|Mult0|auto_generated|mac_mult1_DATAOUT_bus\(6);
\u6|Mult0|auto_generated|mac_mult1~7\ <= \u6|Mult0|auto_generated|mac_mult1_DATAOUT_bus\(7);
\u6|Mult0|auto_generated|mac_mult1~8\ <= \u6|Mult0|auto_generated|mac_mult1_DATAOUT_bus\(8);
\u6|Mult0|auto_generated|mac_mult1~9\ <= \u6|Mult0|auto_generated|mac_mult1_DATAOUT_bus\(9);
\u6|Mult0|auto_generated|mac_mult1~10\ <= \u6|Mult0|auto_generated|mac_mult1_DATAOUT_bus\(10);
\u6|Mult0|auto_generated|mac_mult1~11\ <= \u6|Mult0|auto_generated|mac_mult1_DATAOUT_bus\(11);
\u6|Mult0|auto_generated|mac_mult1~12\ <= \u6|Mult0|auto_generated|mac_mult1_DATAOUT_bus\(12);
\u6|Mult0|auto_generated|mac_mult1~13\ <= \u6|Mult0|auto_generated|mac_mult1_DATAOUT_bus\(13);
\u6|Mult0|auto_generated|mac_mult1~14\ <= \u6|Mult0|auto_generated|mac_mult1_DATAOUT_bus\(14);
\u6|Mult0|auto_generated|mac_mult1~15\ <= \u6|Mult0|auto_generated|mac_mult1_DATAOUT_bus\(15);
\u6|Mult0|auto_generated|mac_mult1~dataout\ <= \u6|Mult0|auto_generated|mac_mult1_DATAOUT_bus\(16);
\u6|Mult0|auto_generated|mac_mult1~DATAOUT1\ <= \u6|Mult0|auto_generated|mac_mult1_DATAOUT_bus\(17);
\u6|Mult0|auto_generated|mac_mult1~DATAOUT2\ <= \u6|Mult0|auto_generated|mac_mult1_DATAOUT_bus\(18);
\u6|Mult0|auto_generated|mac_mult1~DATAOUT3\ <= \u6|Mult0|auto_generated|mac_mult1_DATAOUT_bus\(19);
\u6|Mult0|auto_generated|mac_mult1~DATAOUT4\ <= \u6|Mult0|auto_generated|mac_mult1_DATAOUT_bus\(20);
\u6|Mult0|auto_generated|mac_mult1~DATAOUT5\ <= \u6|Mult0|auto_generated|mac_mult1_DATAOUT_bus\(21);
\u6|Mult0|auto_generated|mac_mult1~DATAOUT6\ <= \u6|Mult0|auto_generated|mac_mult1_DATAOUT_bus\(22);
\u6|Mult0|auto_generated|mac_mult1~DATAOUT7\ <= \u6|Mult0|auto_generated|mac_mult1_DATAOUT_bus\(23);
\u6|Mult0|auto_generated|mac_mult1~DATAOUT8\ <= \u6|Mult0|auto_generated|mac_mult1_DATAOUT_bus\(24);
\u6|Mult0|auto_generated|mac_mult1~DATAOUT9\ <= \u6|Mult0|auto_generated|mac_mult1_DATAOUT_bus\(25);
\u6|Mult0|auto_generated|mac_mult1~DATAOUT10\ <= \u6|Mult0|auto_generated|mac_mult1_DATAOUT_bus\(26);
\u6|Mult0|auto_generated|mac_mult1~DATAOUT11\ <= \u6|Mult0|auto_generated|mac_mult1_DATAOUT_bus\(27);
\u6|Mult0|auto_generated|mac_mult1~DATAOUT12\ <= \u6|Mult0|auto_generated|mac_mult1_DATAOUT_bus\(28);
\u6|Mult0|auto_generated|mac_mult1~DATAOUT13\ <= \u6|Mult0|auto_generated|mac_mult1_DATAOUT_bus\(29);
\u6|Mult0|auto_generated|mac_mult1~DATAOUT14\ <= \u6|Mult0|auto_generated|mac_mult1_DATAOUT_bus\(30);
\u6|Mult0|auto_generated|mac_mult1~DATAOUT15\ <= \u6|Mult0|auto_generated|mac_mult1_DATAOUT_bus\(31);
\u6|Mult0|auto_generated|mac_mult1~DATAOUT16\ <= \u6|Mult0|auto_generated|mac_mult1_DATAOUT_bus\(32);
\u6|Mult0|auto_generated|mac_mult1~DATAOUT17\ <= \u6|Mult0|auto_generated|mac_mult1_DATAOUT_bus\(33);
\u6|Mult0|auto_generated|mac_mult1~DATAOUT18\ <= \u6|Mult0|auto_generated|mac_mult1_DATAOUT_bus\(34);
\u6|Mult0|auto_generated|mac_mult1~DATAOUT19\ <= \u6|Mult0|auto_generated|mac_mult1_DATAOUT_bus\(35);

\u3|I2S_PCM_DATA_ACCESS_OUT~clkctrl_INCLK_bus\ <= (gnd & gnd & gnd & \u3|I2S_PCM_DATA_ACCESS_OUT~regout\);

\u4|Flash_Memory_Clock~clkctrl_INCLK_bus\ <= (gnd & gnd & gnd & \u4|Flash_Memory_Clock~regout\);

\u3|I2S_Clock~clkctrl_INCLK_bus\ <= (gnd & gnd & gnd & \u3|I2S_Clock~regout\);

\CLOCK_IN~clkctrl_INCLK_bus\ <= (gnd & gnd & gnd & \CLOCK_IN~combout\);
\u1|ALT_INV_Mux0~4_combout\ <= NOT \u1|Mux0~4_combout\;
\u1|ALT_INV_Mux0~5_combout\ <= NOT \u1|Mux0~5_combout\;
\u1|ALT_INV_Mux3~1_combout\ <= NOT \u1|Mux3~1_combout\;
\u3|ALT_INV_I2S_Clock~regout\ <= NOT \u3|I2S_Clock~regout\;
\ALT_INV_I2C_ACTIVE_IN~regout\ <= NOT \I2C_ACTIVE_IN~regout\;
\ALT_INV_I2S_ACTIVE_IN~regout\ <= NOT \I2S_ACTIVE_IN~regout\;
\u4|ALT_INV_Flash_Memory_Clock~clkctrl_outclk\ <= NOT \u4|Flash_Memory_Clock~clkctrl_outclk\;
\u3|ALT_INV_I2S_Clock~clkctrl_outclk\ <= NOT \u3|I2S_Clock~clkctrl_outclk\;

\u3|Counter[16]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u3|Add0~32_combout\,
        sclr => \u3|Equal0~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u3|Counter\(16));

\u3|Counter[17]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u3|Add0~34_combout\,
        sclr => \u3|Equal0~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u3|Counter\(17));

\u3|Counter[18]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u3|Add0~36_combout\,
        sclr => \u3|Equal0~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u3|Counter\(18));

\u3|Counter[19]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u3|Add0~38_combout\,
        sclr => \u3|Equal0~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u3|Counter\(19));

\u3|Counter[20]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u3|Add0~40_combout\,
        sclr => \u3|Equal0~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u3|Counter\(20));

\u3|Counter[21]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u3|Add0~42_combout\,
        sclr => \u3|Equal0~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u3|Counter\(21));

\u3|Counter[22]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u3|Add0~44_combout\,
        sclr => \u3|Equal0~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u3|Counter\(22));

\u3|Counter[23]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u3|Add0~46_combout\,
        sclr => \u3|Equal0~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u3|Counter\(23));

\u3|Counter[24]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u3|Add0~48_combout\,
        sclr => \u3|Equal0~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u3|Counter\(24));

\u3|Counter[7]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u3|Add0~14_combout\,
        sclr => \u3|Equal0~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u3|Counter\(7));

\u3|Counter[6]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u3|Add0~12_combout\,
        sclr => \u3|Equal0~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u3|Counter\(6));

\u3|Counter[10]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u3|Add0~20_combout\,
        sclr => \u3|Equal0~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u3|Counter\(10));

\u3|Counter[8]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u3|Add0~16_combout\,
        sclr => \u3|Equal0~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u3|Counter\(8));

\u3|Counter[11]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u3|Add0~22_combout\,
        sclr => \u3|Equal0~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u3|Counter\(11));

\u3|Counter[12]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u3|Add0~24_combout\,
        sclr => \u3|Equal0~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u3|Counter\(12));

\u3|Counter[5]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u3|Add0~10_combout\,
        sclr => \u3|Equal0~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u3|Counter\(5));

\u3|Counter[13]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u3|Add0~26_combout\,
        sclr => \u3|Equal0~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u3|Counter\(13));

\u3|Counter[14]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u3|Add0~28_combout\,
        sclr => \u3|Equal0~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u3|Counter\(14));

\u3|Counter[15]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u3|Add0~30_combout\,
        sclr => \u3|Equal0~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u3|Counter\(15));

\u3|Counter[4]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u3|Add0~8_combout\,
        sclr => \u3|Equal0~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u3|Counter\(4));

\u3|Counter[2]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u3|Add0~4_combout\,
        sclr => \u3|Equal0~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u3|Counter\(2));

\u3|Counter[1]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u3|Add0~2_combout\,
        sclr => \u3|Equal0~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u3|Counter\(1));

\u3|Counter[0]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u3|Add0~0_combout\,
        sclr => \u3|Equal0~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u3|Counter\(0));

\u3|Counter[3]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u3|Add0~6_combout\,
        sclr => \u3|Equal0~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u3|Counter\(3));

\u3|Counter[9]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u3|Add0~18_combout\,
        sclr => \u3|Equal0~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u3|Counter\(9));

\u5|PCM_Data[7]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u5|Mux53~0_combout\,
        sdata => \u5|Last_PCM_Data\(7),
        sload => \u6|ADPCM_Decoder_State_Counter\(0),
        ena => \u5|PCM_Data[15]~182_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u5|PCM_Data\(7));

\u5|Add8~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add8~0_combout\ = \u5|PCM_Data\(11) $ VCC
-- \u5|Add8~1\ = CARRY(\u5|PCM_Data\(11))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011001111001100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u5|PCM_Data\(11),
        datad => VCC,
        combout => \u5|Add8~0_combout\,
        cout => \u5|Add8~1\);

\u5|Add9~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add9~0_combout\ = \u5|PCM_Data\(10) $ VCC
-- \u5|Add9~1\ = CARRY(\u5|PCM_Data\(10))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011001111001100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u5|PCM_Data\(10),
        datad => VCC,
        combout => \u5|Add9~0_combout\,
        cout => \u5|Add9~1\);

\u5|Add10~8\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add10~8_combout\ = \u5|LessThan7~2_combout\ & (\u5|Add9~4_combout\ & \u5|Add10~7\ & VCC # !\u5|Add9~4_combout\ & !\u5|Add10~7\) # !\u5|LessThan7~2_combout\ & (!\u5|Add10~7\)
-- \u5|Add10~9\ = CARRY(!\u5|Add10~7\ & (!\u5|Add9~4_combout\ # !\u5|LessThan7~2_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1000011100000111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|LessThan7~2_combout\,
        datab => \u5|Add9~4_combout\,
        datad => VCC,
        cin => \u5|Add10~7\,
        combout => \u5|Add10~8_combout\,
        cout => \u5|Add10~9\);

\u5|Add10~10\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add10~10_combout\ = \u5|Add10~9\ & ((\u5|Add9~6_combout\ & \u5|LessThan7~2_combout\)) # !\u5|Add10~9\ & (\u5|Add9~6_combout\ & \u5|LessThan7~2_combout\ # GND)
-- \u5|Add10~11\ = CARRY(\u5|Add9~6_combout\ & \u5|LessThan7~2_combout\ # !\u5|Add10~9\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0111100010001111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Add9~6_combout\,
        datab => \u5|LessThan7~2_combout\,
        datad => VCC,
        cin => \u5|Add10~9\,
        combout => \u5|Add10~10_combout\,
        cout => \u5|Add10~11\);

\u2|Counter[20]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u2|Add1~40_combout\,
        sclr => \u2|Equal0~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u2|Counter\(20));

\u2|Counter[18]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u2|Add1~36_combout\,
        sclr => \u2|Equal0~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u2|Counter\(18));

\u2|Counter[17]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u2|Add1~34_combout\,
        sclr => \u2|Equal0~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u2|Counter\(17));

\u2|Counter[15]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u2|Add1~30_combout\,
        sclr => \u2|Equal0~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u2|Counter\(15));

\u2|Counter[8]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u2|Add1~16_combout\,
        sclr => \u2|Equal0~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u2|Counter\(8));

\u2|Counter[1]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u2|Add1~2_combout\,
        sclr => \u2|Equal0~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u2|Counter\(1));

\u2|Counter[24]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u2|Add1~48_combout\,
        sclr => \u2|Equal0~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u2|Counter\(24));

\u3|Add1~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u3|Add1~2_combout\ = \u3|I2S_Stream_Counter\(1) & !\u3|Add1~1\ # !\u3|I2S_Stream_Counter\(1) & (\u3|Add1~1\ # GND)
-- \u3|Add1~3\ = CARRY(!\u3|Add1~1\ # !\u3|I2S_Stream_Counter\(1))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110000111111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u3|I2S_Stream_Counter\(1),
        datad => VCC,
        cin => \u3|Add1~1\,
        combout => \u3|Add1~2_combout\,
        cout => \u3|Add1~3\);

\u3|Add0~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u3|Add0~0_combout\ = \u3|Counter\(0) $ VCC
-- \u3|Add0~1\ = CARRY(\u3|Counter\(0))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011001111001100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u3|Counter\(0),
        datad => VCC,
        combout => \u3|Add0~0_combout\,
        cout => \u3|Add0~1\);

\u3|Add0~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u3|Add0~2_combout\ = \u3|Counter\(1) & !\u3|Add0~1\ # !\u3|Counter\(1) & (\u3|Add0~1\ # GND)
-- \u3|Add0~3\ = CARRY(!\u3|Add0~1\ # !\u3|Counter\(1))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0101101001011111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u3|Counter\(1),
        datad => VCC,
        cin => \u3|Add0~1\,
        combout => \u3|Add0~2_combout\,
        cout => \u3|Add0~3\);

\u3|Add0~4\ : cycloneii_lcell_comb
-- Equation(s):
-- \u3|Add0~4_combout\ = \u3|Counter\(2) & (\u3|Add0~3\ $ GND) # !\u3|Counter\(2) & !\u3|Add0~3\ & VCC
-- \u3|Add0~5\ = CARRY(\u3|Counter\(2) & !\u3|Add0~3\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010010100001010",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u3|Counter\(2),
        datad => VCC,
        cin => \u3|Add0~3\,
        combout => \u3|Add0~4_combout\,
        cout => \u3|Add0~5\);

\u3|Add0~6\ : cycloneii_lcell_comb
-- Equation(s):
-- \u3|Add0~6_combout\ = \u3|Counter\(3) & !\u3|Add0~5\ # !\u3|Counter\(3) & (\u3|Add0~5\ # GND)
-- \u3|Add0~7\ = CARRY(!\u3|Add0~5\ # !\u3|Counter\(3))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110000111111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u3|Counter\(3),
        datad => VCC,
        cin => \u3|Add0~5\,
        combout => \u3|Add0~6_combout\,
        cout => \u3|Add0~7\);

\u3|Add0~8\ : cycloneii_lcell_comb
-- Equation(s):
-- \u3|Add0~8_combout\ = \u3|Counter\(4) & (\u3|Add0~7\ $ GND) # !\u3|Counter\(4) & !\u3|Add0~7\ & VCC
-- \u3|Add0~9\ = CARRY(\u3|Counter\(4) & !\u3|Add0~7\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010010100001010",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u3|Counter\(4),
        datad => VCC,
        cin => \u3|Add0~7\,
        combout => \u3|Add0~8_combout\,
        cout => \u3|Add0~9\);

\u3|Add0~10\ : cycloneii_lcell_comb
-- Equation(s):
-- \u3|Add0~10_combout\ = \u3|Counter\(5) & !\u3|Add0~9\ # !\u3|Counter\(5) & (\u3|Add0~9\ # GND)
-- \u3|Add0~11\ = CARRY(!\u3|Add0~9\ # !\u3|Counter\(5))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110000111111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u3|Counter\(5),
        datad => VCC,
        cin => \u3|Add0~9\,
        combout => \u3|Add0~10_combout\,
        cout => \u3|Add0~11\);

\u3|Add0~12\ : cycloneii_lcell_comb
-- Equation(s):
-- \u3|Add0~12_combout\ = \u3|Counter\(6) & (\u3|Add0~11\ $ GND) # !\u3|Counter\(6) & !\u3|Add0~11\ & VCC
-- \u3|Add0~13\ = CARRY(\u3|Counter\(6) & !\u3|Add0~11\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010010100001010",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u3|Counter\(6),
        datad => VCC,
        cin => \u3|Add0~11\,
        combout => \u3|Add0~12_combout\,
        cout => \u3|Add0~13\);

\u3|Add0~14\ : cycloneii_lcell_comb
-- Equation(s):
-- \u3|Add0~14_combout\ = \u3|Counter\(7) & !\u3|Add0~13\ # !\u3|Counter\(7) & (\u3|Add0~13\ # GND)
-- \u3|Add0~15\ = CARRY(!\u3|Add0~13\ # !\u3|Counter\(7))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110000111111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u3|Counter\(7),
        datad => VCC,
        cin => \u3|Add0~13\,
        combout => \u3|Add0~14_combout\,
        cout => \u3|Add0~15\);

\u3|Add0~16\ : cycloneii_lcell_comb
-- Equation(s):
-- \u3|Add0~16_combout\ = \u3|Counter\(8) & (\u3|Add0~15\ $ GND) # !\u3|Counter\(8) & !\u3|Add0~15\ & VCC
-- \u3|Add0~17\ = CARRY(\u3|Counter\(8) & !\u3|Add0~15\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010010100001010",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u3|Counter\(8),
        datad => VCC,
        cin => \u3|Add0~15\,
        combout => \u3|Add0~16_combout\,
        cout => \u3|Add0~17\);

\u3|Add0~18\ : cycloneii_lcell_comb
-- Equation(s):
-- \u3|Add0~18_combout\ = \u3|Counter\(9) & !\u3|Add0~17\ # !\u3|Counter\(9) & (\u3|Add0~17\ # GND)
-- \u3|Add0~19\ = CARRY(!\u3|Add0~17\ # !\u3|Counter\(9))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110000111111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u3|Counter\(9),
        datad => VCC,
        cin => \u3|Add0~17\,
        combout => \u3|Add0~18_combout\,
        cout => \u3|Add0~19\);

\u3|Add0~20\ : cycloneii_lcell_comb
-- Equation(s):
-- \u3|Add0~20_combout\ = \u3|Counter\(10) & (\u3|Add0~19\ $ GND) # !\u3|Counter\(10) & !\u3|Add0~19\ & VCC
-- \u3|Add0~21\ = CARRY(\u3|Counter\(10) & !\u3|Add0~19\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100001100001100",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u3|Counter\(10),
        datad => VCC,
        cin => \u3|Add0~19\,
        combout => \u3|Add0~20_combout\,
        cout => \u3|Add0~21\);

\u3|Add0~22\ : cycloneii_lcell_comb
-- Equation(s):
-- \u3|Add0~22_combout\ = \u3|Counter\(11) & !\u3|Add0~21\ # !\u3|Counter\(11) & (\u3|Add0~21\ # GND)
-- \u3|Add0~23\ = CARRY(!\u3|Add0~21\ # !\u3|Counter\(11))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110000111111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u3|Counter\(11),
        datad => VCC,
        cin => \u3|Add0~21\,
        combout => \u3|Add0~22_combout\,
        cout => \u3|Add0~23\);

\u3|Add0~24\ : cycloneii_lcell_comb
-- Equation(s):
-- \u3|Add0~24_combout\ = \u3|Counter\(12) & (\u3|Add0~23\ $ GND) # !\u3|Counter\(12) & !\u3|Add0~23\ & VCC
-- \u3|Add0~25\ = CARRY(\u3|Counter\(12) & !\u3|Add0~23\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100001100001100",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u3|Counter\(12),
        datad => VCC,
        cin => \u3|Add0~23\,
        combout => \u3|Add0~24_combout\,
        cout => \u3|Add0~25\);

\u3|Add0~26\ : cycloneii_lcell_comb
-- Equation(s):
-- \u3|Add0~26_combout\ = \u3|Counter\(13) & !\u3|Add0~25\ # !\u3|Counter\(13) & (\u3|Add0~25\ # GND)
-- \u3|Add0~27\ = CARRY(!\u3|Add0~25\ # !\u3|Counter\(13))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110000111111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u3|Counter\(13),
        datad => VCC,
        cin => \u3|Add0~25\,
        combout => \u3|Add0~26_combout\,
        cout => \u3|Add0~27\);

\u3|Add0~28\ : cycloneii_lcell_comb
-- Equation(s):
-- \u3|Add0~28_combout\ = \u3|Counter\(14) & (\u3|Add0~27\ $ GND) # !\u3|Counter\(14) & !\u3|Add0~27\ & VCC
-- \u3|Add0~29\ = CARRY(\u3|Counter\(14) & !\u3|Add0~27\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100001100001100",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u3|Counter\(14),
        datad => VCC,
        cin => \u3|Add0~27\,
        combout => \u3|Add0~28_combout\,
        cout => \u3|Add0~29\);

\u3|Add0~30\ : cycloneii_lcell_comb
-- Equation(s):
-- \u3|Add0~30_combout\ = \u3|Counter\(15) & !\u3|Add0~29\ # !\u3|Counter\(15) & (\u3|Add0~29\ # GND)
-- \u3|Add0~31\ = CARRY(!\u3|Add0~29\ # !\u3|Counter\(15))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0101101001011111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u3|Counter\(15),
        datad => VCC,
        cin => \u3|Add0~29\,
        combout => \u3|Add0~30_combout\,
        cout => \u3|Add0~31\);

\u3|Add0~32\ : cycloneii_lcell_comb
-- Equation(s):
-- \u3|Add0~32_combout\ = \u3|Counter\(16) & (\u3|Add0~31\ $ GND) # !\u3|Counter\(16) & !\u3|Add0~31\ & VCC
-- \u3|Add0~33\ = CARRY(\u3|Counter\(16) & !\u3|Add0~31\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100001100001100",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u3|Counter\(16),
        datad => VCC,
        cin => \u3|Add0~31\,
        combout => \u3|Add0~32_combout\,
        cout => \u3|Add0~33\);

\u3|Add0~34\ : cycloneii_lcell_comb
-- Equation(s):
-- \u3|Add0~34_combout\ = \u3|Counter\(17) & !\u3|Add0~33\ # !\u3|Counter\(17) & (\u3|Add0~33\ # GND)
-- \u3|Add0~35\ = CARRY(!\u3|Add0~33\ # !\u3|Counter\(17))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0101101001011111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u3|Counter\(17),
        datad => VCC,
        cin => \u3|Add0~33\,
        combout => \u3|Add0~34_combout\,
        cout => \u3|Add0~35\);

\u3|Add0~36\ : cycloneii_lcell_comb
-- Equation(s):
-- \u3|Add0~36_combout\ = \u3|Counter\(18) & (\u3|Add0~35\ $ GND) # !\u3|Counter\(18) & !\u3|Add0~35\ & VCC
-- \u3|Add0~37\ = CARRY(\u3|Counter\(18) & !\u3|Add0~35\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100001100001100",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u3|Counter\(18),
        datad => VCC,
        cin => \u3|Add0~35\,
        combout => \u3|Add0~36_combout\,
        cout => \u3|Add0~37\);

\u3|Add0~38\ : cycloneii_lcell_comb
-- Equation(s):
-- \u3|Add0~38_combout\ = \u3|Counter\(19) & !\u3|Add0~37\ # !\u3|Counter\(19) & (\u3|Add0~37\ # GND)
-- \u3|Add0~39\ = CARRY(!\u3|Add0~37\ # !\u3|Counter\(19))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0101101001011111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u3|Counter\(19),
        datad => VCC,
        cin => \u3|Add0~37\,
        combout => \u3|Add0~38_combout\,
        cout => \u3|Add0~39\);

\u3|Add0~40\ : cycloneii_lcell_comb
-- Equation(s):
-- \u3|Add0~40_combout\ = \u3|Counter\(20) & (\u3|Add0~39\ $ GND) # !\u3|Counter\(20) & !\u3|Add0~39\ & VCC
-- \u3|Add0~41\ = CARRY(\u3|Counter\(20) & !\u3|Add0~39\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010010100001010",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u3|Counter\(20),
        datad => VCC,
        cin => \u3|Add0~39\,
        combout => \u3|Add0~40_combout\,
        cout => \u3|Add0~41\);

\u3|Add0~42\ : cycloneii_lcell_comb
-- Equation(s):
-- \u3|Add0~42_combout\ = \u3|Counter\(21) & !\u3|Add0~41\ # !\u3|Counter\(21) & (\u3|Add0~41\ # GND)
-- \u3|Add0~43\ = CARRY(!\u3|Add0~41\ # !\u3|Counter\(21))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0101101001011111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u3|Counter\(21),
        datad => VCC,
        cin => \u3|Add0~41\,
        combout => \u3|Add0~42_combout\,
        cout => \u3|Add0~43\);

\u3|Add0~44\ : cycloneii_lcell_comb
-- Equation(s):
-- \u3|Add0~44_combout\ = \u3|Counter\(22) & (\u3|Add0~43\ $ GND) # !\u3|Counter\(22) & !\u3|Add0~43\ & VCC
-- \u3|Add0~45\ = CARRY(\u3|Counter\(22) & !\u3|Add0~43\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010010100001010",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u3|Counter\(22),
        datad => VCC,
        cin => \u3|Add0~43\,
        combout => \u3|Add0~44_combout\,
        cout => \u3|Add0~45\);

\u3|Add0~46\ : cycloneii_lcell_comb
-- Equation(s):
-- \u3|Add0~46_combout\ = \u3|Counter\(23) & !\u3|Add0~45\ # !\u3|Counter\(23) & (\u3|Add0~45\ # GND)
-- \u3|Add0~47\ = CARRY(!\u3|Add0~45\ # !\u3|Counter\(23))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110000111111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u3|Counter\(23),
        datad => VCC,
        cin => \u3|Add0~45\,
        combout => \u3|Add0~46_combout\,
        cout => \u3|Add0~47\);

\u3|Add0~48\ : cycloneii_lcell_comb
-- Equation(s):
-- \u3|Add0~48_combout\ = \u3|Counter\(24) $ !\u3|Add0~47\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010010110100101",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u3|Counter\(24),
        cin => \u3|Add0~47\,
        combout => \u3|Add0~48_combout\);

\u4|Counter[1]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u4|Add0~2_combout\,
        sclr => \u4|Equal0~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u4|Counter\(1));

\u4|Counter[4]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u4|Add0~8_combout\,
        sclr => \u4|Equal0~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u4|Counter\(4));

\u4|Counter[6]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u4|Add0~12_combout\,
        sclr => \u4|Equal0~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u4|Counter\(6));

\u4|Counter[17]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u4|Add0~34_combout\,
        sclr => \u4|Equal0~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u4|Counter\(17));

\u4|Counter[22]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u4|Add0~44_combout\,
        sclr => \u4|Equal0~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u4|Counter\(22));

\u4|Counter[24]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u4|Add0~48_combout\,
        sclr => \u4|Equal0~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u4|Counter\(24));

\u5|Add15~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add15~0_combout\ = \u5|PCM_Data\(4) $ VCC
-- \u5|Add15~1\ = CARRY(\u5|PCM_Data\(4))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011001111001100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u5|PCM_Data\(4),
        datad => VCC,
        combout => \u5|Add15~0_combout\,
        cout => \u5|Add15~1\);

\u5|Add14~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add14~0_combout\ = \u5|PCM_Data\(5) $ VCC
-- \u5|Add14~1\ = CARRY(\u5|PCM_Data\(5))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011001111001100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u5|PCM_Data\(5),
        datad => VCC,
        combout => \u5|Add14~0_combout\,
        cout => \u5|Add14~1\);

\u5|Add13~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add13~0_combout\ = \u5|PCM_Data\(6) $ VCC
-- \u5|Add13~1\ = CARRY(\u5|PCM_Data\(6))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0101010110101010",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|PCM_Data\(6),
        datad => VCC,
        combout => \u5|Add13~0_combout\,
        cout => \u5|Add13~1\);

\u5|Add11~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add11~0_combout\ = \u5|PCM_Data\(8) $ VCC
-- \u5|Add11~1\ = CARRY(\u5|PCM_Data\(8))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0101010110101010",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|PCM_Data\(8),
        datad => VCC,
        combout => \u5|Add11~0_combout\,
        cout => \u5|Add11~1\);

\u5|Add12~15\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add12~15_combout\ = \u5|LessThan9~2_combout\ & (\u5|Add11~12_combout\ & \u5|Add12~14\ & VCC # !\u5|Add11~12_combout\ & !\u5|Add12~14\) # !\u5|LessThan9~2_combout\ & (!\u5|Add12~14\)
-- \u5|Add12~16\ = CARRY(!\u5|Add12~14\ & (!\u5|Add11~12_combout\ # !\u5|LessThan9~2_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1000011100000111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|LessThan9~2_combout\,
        datab => \u5|Add11~12_combout\,
        datad => VCC,
        cin => \u5|Add12~14\,
        combout => \u5|Add12~15_combout\,
        cout => \u5|Add12~16\);

\u5|Add12~22\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add12~22_combout\ = \u5|Add12~16\ $ (\u5|LessThan9~2_combout\ & \u5|Add11~14_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0101101011110000",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|LessThan9~2_combout\,
        datad => \u5|Add11~14_combout\,
        cin => \u5|Add12~16\,
        combout => \u5|Add12~22_combout\);

\u5|Add13~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add13~2_combout\ = \u5|Add12~2_combout\ & \u5|Add13~1\ & VCC # !\u5|Add12~2_combout\ & !\u5|Add13~1\
-- \u5|Add13~3\ = CARRY(!\u5|Add12~2_combout\ & !\u5|Add13~1\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100001100000011",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u5|Add12~2_combout\,
        datad => VCC,
        cin => \u5|Add13~1\,
        combout => \u5|Add13~2_combout\,
        cout => \u5|Add13~3\);

\u5|Add13~8\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add13~8_combout\ = \u5|Add12~21_combout\ & (GND # !\u5|Add13~7\) # !\u5|Add12~21_combout\ & (\u5|Add13~7\ $ GND)
-- \u5|Add13~9\ = CARRY(\u5|Add12~21_combout\ # !\u5|Add13~7\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110011001111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u5|Add12~21_combout\,
        datad => VCC,
        cin => \u5|Add13~7\,
        combout => \u5|Add13~8_combout\,
        cout => \u5|Add13~9\);

\u5|Add13~12\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add13~12_combout\ = \u5|Add12~25_combout\ & (GND # !\u5|Add13~11\) # !\u5|Add12~25_combout\ & (\u5|Add13~11\ $ GND)
-- \u5|Add13~13\ = CARRY(\u5|Add12~25_combout\ # !\u5|Add13~11\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110011001111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u5|Add12~25_combout\,
        datad => VCC,
        cin => \u5|Add13~11\,
        combout => \u5|Add13~12_combout\,
        cout => \u5|Add13~13\);

\u5|Add13~16\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add13~16_combout\ = \u5|Add12~17_combout\ & (GND # !\u5|Add13~15\) # !\u5|Add12~17_combout\ & (\u5|Add13~15\ $ GND)
-- \u5|Add13~17\ = CARRY(\u5|Add12~17_combout\ # !\u5|Add13~15\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110011001111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u5|Add12~17_combout\,
        datad => VCC,
        cin => \u5|Add13~15\,
        combout => \u5|Add13~16_combout\,
        cout => \u5|Add13~17\);

\u5|Add13~18\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add13~18_combout\ = \u5|Add13~17\ $ !\u5|Add12~24_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000001111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datad => \u5|Add12~24_combout\,
        cin => \u5|Add13~17\,
        combout => \u5|Add13~18_combout\);

\u5|Add14~3\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add14~3_combout\ = \u5|PCM_Data~148_combout\ & \u5|Add14~1\ & VCC # !\u5|PCM_Data~148_combout\ & !\u5|Add14~1\
-- \u5|Add14~4\ = CARRY(!\u5|PCM_Data~148_combout\ & !\u5|Add14~1\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100001100000011",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u5|PCM_Data~148_combout\,
        datad => VCC,
        cin => \u5|Add14~1\,
        combout => \u5|Add14~3_combout\,
        cout => \u5|Add14~4\);

\u5|Add15~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add15~2_combout\ = \u5|Add14~2_combout\ & \u5|Add15~1\ & VCC # !\u5|Add14~2_combout\ & !\u5|Add15~1\
-- \u5|Add15~3\ = CARRY(!\u5|Add14~2_combout\ & !\u5|Add15~1\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010010100000101",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Add14~2_combout\,
        datad => VCC,
        cin => \u5|Add15~1\,
        combout => \u5|Add15~2_combout\,
        cout => \u5|Add15~3\);

\u5|Add16~5\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add16~5_combout\ = \u5|PCM_Data~164_combout\ & (GND # !\u5|Add16~4\) # !\u5|PCM_Data~164_combout\ & (\u5|Add16~4\ $ GND)
-- \u5|Add16~6\ = CARRY(\u5|PCM_Data~164_combout\ # !\u5|Add16~4\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0101101010101111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|PCM_Data~164_combout\,
        datad => VCC,
        cin => \u5|Add16~4\,
        combout => \u5|Add16~5_combout\,
        cout => \u5|Add16~6\);

\u5|Add16~9\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add16~9_combout\ = \u5|PCM_Data~160_combout\ & (GND # !\u5|Add16~8\) # !\u5|PCM_Data~160_combout\ & (\u5|Add16~8\ $ GND)
-- \u5|Add16~10\ = CARRY(\u5|PCM_Data~160_combout\ # !\u5|Add16~8\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110011001111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u5|PCM_Data~160_combout\,
        datad => VCC,
        cin => \u5|Add16~8\,
        combout => \u5|Add16~9_combout\,
        cout => \u5|Add16~10\);

\u5|Add16~21\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add16~21_combout\ = \u5|Add16~20\ & ((\u5|PCM_Data~157_combout\ & \u5|Add15~18_combout\)) # !\u5|Add16~20\ & (\u5|PCM_Data~157_combout\ & \u5|Add15~18_combout\ # GND)
-- \u5|Add16~22\ = CARRY(\u5|PCM_Data~157_combout\ & \u5|Add15~18_combout\ # !\u5|Add16~20\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0111100010001111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|PCM_Data~157_combout\,
        datab => \u5|Add15~18_combout\,
        datad => VCC,
        cin => \u5|Add16~20\,
        combout => \u5|Add16~21_combout\,
        cout => \u5|Add16~22\);

\u5|Add17~6\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add17~6_combout\ = \u5|Add16~30_combout\ & \u5|Add17~5\ & VCC # !\u5|Add16~30_combout\ & !\u5|Add17~5\
-- \u5|Add17~7\ = CARRY(!\u5|Add16~30_combout\ & !\u5|Add17~5\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010010100000101",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Add16~30_combout\,
        datad => VCC,
        cin => \u5|Add17~5\,
        combout => \u5|Add17~6_combout\,
        cout => \u5|Add17~7\);

\u5|Add18~6\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add18~6_combout\ = \u5|PCM_Data~174_combout\ & (GND # !\u5|Add18~5\) # !\u5|PCM_Data~174_combout\ & (\u5|Add18~5\ $ GND)
-- \u5|Add18~7\ = CARRY(\u5|PCM_Data~174_combout\ # !\u5|Add18~5\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110011001111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u5|PCM_Data~174_combout\,
        datad => VCC,
        cin => \u5|Add18~5\,
        combout => \u5|Add18~6_combout\,
        cout => \u5|Add18~7\);

\u5|Add18~8\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add18~8_combout\ = \u5|PCM_Data~175_combout\ & \u5|Add18~7\ & VCC # !\u5|PCM_Data~175_combout\ & !\u5|Add18~7\
-- \u5|Add18~9\ = CARRY(!\u5|PCM_Data~175_combout\ & !\u5|Add18~7\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100001100000011",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u5|PCM_Data~175_combout\,
        datad => VCC,
        cin => \u5|Add18~7\,
        combout => \u5|Add18~8_combout\,
        cout => \u5|Add18~9\);

\u5|Add18~18\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add18~18_combout\ = \u5|PCM_Data~178_combout\ & (GND # !\u5|Add18~17\) # !\u5|PCM_Data~178_combout\ & (\u5|Add18~17\ $ GND)
-- \u5|Add18~19\ = CARRY(\u5|PCM_Data~178_combout\ # !\u5|Add18~17\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0101101010101111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|PCM_Data~178_combout\,
        datad => VCC,
        cin => \u5|Add18~17\,
        combout => \u5|Add18~18_combout\,
        cout => \u5|Add18~19\);

\u5|Add19~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add19~0_combout\ = \u5|PCM_Data\(0) $ VCC
-- \u5|Add19~1\ = CARRY(\u5|PCM_Data\(0))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011001111001100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u5|PCM_Data\(0),
        datad => VCC,
        combout => \u5|Add19~0_combout\,
        cout => \u5|Add19~1\);

\u5|Add19~6\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add19~6_combout\ = \u5|Add18~40_combout\ & \u5|Add19~5\ & VCC # !\u5|Add18~40_combout\ & !\u5|Add19~5\
-- \u5|Add19~7\ = CARRY(!\u5|Add18~40_combout\ & !\u5|Add19~5\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100001100000011",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u5|Add18~40_combout\,
        datad => VCC,
        cin => \u5|Add19~5\,
        combout => \u5|Add19~6_combout\,
        cout => \u5|Add19~7\);

\u5|Add19~14\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add19~14_combout\ = \u5|Add18~33_combout\ & \u5|Add19~13\ & VCC # !\u5|Add18~33_combout\ & !\u5|Add19~13\
-- \u5|Add19~15\ = CARRY(!\u5|Add18~33_combout\ & !\u5|Add19~13\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100001100000011",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u5|Add18~33_combout\,
        datad => VCC,
        cin => \u5|Add19~13\,
        combout => \u5|Add19~14_combout\,
        cout => \u5|Add19~15\);

\u5|Add19~20\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add19~20_combout\ = \u5|Add18~35_combout\ & (GND # !\u5|Add19~19\) # !\u5|Add18~35_combout\ & (\u5|Add19~19\ $ GND)
-- \u5|Add19~21\ = CARRY(\u5|Add18~35_combout\ # !\u5|Add19~19\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110011001111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u5|Add18~35_combout\,
        datad => VCC,
        cin => \u5|Add19~19\,
        combout => \u5|Add19~20_combout\,
        cout => \u5|Add19~21\);

\u5|Add19~26\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add19~26_combout\ = \u5|Add18~38_combout\ & \u5|Add19~25\ & VCC # !\u5|Add18~38_combout\ & !\u5|Add19~25\
-- \u5|Add19~27\ = CARRY(!\u5|Add18~38_combout\ & !\u5|Add19~25\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100001100000011",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u5|Add18~38_combout\,
        datad => VCC,
        cin => \u5|Add19~25\,
        combout => \u5|Add19~26_combout\,
        cout => \u5|Add19~27\);

\Counter[17]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \Add6~34_combout\,
        sclr => \Equal1~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => Counter(17));

\Counter[6]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \Add6~12_combout\,
        sclr => \Equal1~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => Counter(6));

\Counter[1]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \Add6~2_combout\,
        sclr => \Equal1~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => Counter(1));

\Counter[15]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \Add6~30_combout\,
        sclr => \Equal1~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => Counter(15));

\Counter[2]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \Add6~4_combout\,
        sclr => \Equal1~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => Counter(2));

\Counter[20]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \Add6~40_combout\,
        sclr => \Equal1~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => Counter(20));

\u2|Add1~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u2|Add1~2_combout\ = \u2|Counter\(1) & !\u2|Add1~1\ # !\u2|Counter\(1) & (\u2|Add1~1\ # GND)
-- \u2|Add1~3\ = CARRY(!\u2|Add1~1\ # !\u2|Counter\(1))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0101101001011111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u2|Counter\(1),
        datad => VCC,
        cin => \u2|Add1~1\,
        combout => \u2|Add1~2_combout\,
        cout => \u2|Add1~3\);

\u2|Add1~16\ : cycloneii_lcell_comb
-- Equation(s):
-- \u2|Add1~16_combout\ = \u2|Counter\(8) & (\u2|Add1~15\ $ GND) # !\u2|Counter\(8) & !\u2|Add1~15\ & VCC
-- \u2|Add1~17\ = CARRY(\u2|Counter\(8) & !\u2|Add1~15\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010010100001010",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u2|Counter\(8),
        datad => VCC,
        cin => \u2|Add1~15\,
        combout => \u2|Add1~16_combout\,
        cout => \u2|Add1~17\);

\u2|Add1~30\ : cycloneii_lcell_comb
-- Equation(s):
-- \u2|Add1~30_combout\ = \u2|Counter\(15) & !\u2|Add1~29\ # !\u2|Counter\(15) & (\u2|Add1~29\ # GND)
-- \u2|Add1~31\ = CARRY(!\u2|Add1~29\ # !\u2|Counter\(15))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0101101001011111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u2|Counter\(15),
        datad => VCC,
        cin => \u2|Add1~29\,
        combout => \u2|Add1~30_combout\,
        cout => \u2|Add1~31\);

\u2|Add1~34\ : cycloneii_lcell_comb
-- Equation(s):
-- \u2|Add1~34_combout\ = \u2|Counter\(17) & !\u2|Add1~33\ # !\u2|Counter\(17) & (\u2|Add1~33\ # GND)
-- \u2|Add1~35\ = CARRY(!\u2|Add1~33\ # !\u2|Counter\(17))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0101101001011111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u2|Counter\(17),
        datad => VCC,
        cin => \u2|Add1~33\,
        combout => \u2|Add1~34_combout\,
        cout => \u2|Add1~35\);

\u2|Add1~36\ : cycloneii_lcell_comb
-- Equation(s):
-- \u2|Add1~36_combout\ = \u2|Counter\(18) & (\u2|Add1~35\ $ GND) # !\u2|Counter\(18) & !\u2|Add1~35\ & VCC
-- \u2|Add1~37\ = CARRY(\u2|Counter\(18) & !\u2|Add1~35\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010010100001010",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u2|Counter\(18),
        datad => VCC,
        cin => \u2|Add1~35\,
        combout => \u2|Add1~36_combout\,
        cout => \u2|Add1~37\);

\u2|Add1~40\ : cycloneii_lcell_comb
-- Equation(s):
-- \u2|Add1~40_combout\ = \u2|Counter\(20) & (\u2|Add1~39\ $ GND) # !\u2|Counter\(20) & !\u2|Add1~39\ & VCC
-- \u2|Add1~41\ = CARRY(\u2|Counter\(20) & !\u2|Add1~39\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010010100001010",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u2|Counter\(20),
        datad => VCC,
        cin => \u2|Add1~39\,
        combout => \u2|Add1~40_combout\,
        cout => \u2|Add1~41\);

\u2|Add1~46\ : cycloneii_lcell_comb
-- Equation(s):
-- \u2|Add1~46_combout\ = \u2|Counter\(23) & !\u2|Add1~45\ # !\u2|Counter\(23) & (\u2|Add1~45\ # GND)
-- \u2|Add1~47\ = CARRY(!\u2|Add1~45\ # !\u2|Counter\(23))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110000111111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u2|Counter\(23),
        datad => VCC,
        cin => \u2|Add1~45\,
        combout => \u2|Add1~46_combout\,
        cout => \u2|Add1~47\);

\u2|Add1~48\ : cycloneii_lcell_comb
-- Equation(s):
-- \u2|Add1~48_combout\ = \u2|Counter\(24) $ !\u2|Add1~47\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010010110100101",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u2|Counter\(24),
        cin => \u2|Add1~47\,
        combout => \u2|Add1~48_combout\);

\u4|Add0~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u4|Add0~2_combout\ = \u4|Counter\(1) & !\u4|Add0~1\ # !\u4|Counter\(1) & (\u4|Add0~1\ # GND)
-- \u4|Add0~3\ = CARRY(!\u4|Add0~1\ # !\u4|Counter\(1))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0101101001011111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u4|Counter\(1),
        datad => VCC,
        cin => \u4|Add0~1\,
        combout => \u4|Add0~2_combout\,
        cout => \u4|Add0~3\);

\u4|Add0~8\ : cycloneii_lcell_comb
-- Equation(s):
-- \u4|Add0~8_combout\ = \u4|Counter\(4) & (\u4|Add0~7\ $ GND) # !\u4|Counter\(4) & !\u4|Add0~7\ & VCC
-- \u4|Add0~9\ = CARRY(\u4|Counter\(4) & !\u4|Add0~7\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010010100001010",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u4|Counter\(4),
        datad => VCC,
        cin => \u4|Add0~7\,
        combout => \u4|Add0~8_combout\,
        cout => \u4|Add0~9\);

\u4|Add0~12\ : cycloneii_lcell_comb
-- Equation(s):
-- \u4|Add0~12_combout\ = \u4|Counter\(6) & (\u4|Add0~11\ $ GND) # !\u4|Counter\(6) & !\u4|Add0~11\ & VCC
-- \u4|Add0~13\ = CARRY(\u4|Counter\(6) & !\u4|Add0~11\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010010100001010",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u4|Counter\(6),
        datad => VCC,
        cin => \u4|Add0~11\,
        combout => \u4|Add0~12_combout\,
        cout => \u4|Add0~13\);

\u4|Add0~34\ : cycloneii_lcell_comb
-- Equation(s):
-- \u4|Add0~34_combout\ = \u4|Counter\(17) & !\u4|Add0~33\ # !\u4|Counter\(17) & (\u4|Add0~33\ # GND)
-- \u4|Add0~35\ = CARRY(!\u4|Add0~33\ # !\u4|Counter\(17))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0101101001011111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u4|Counter\(17),
        datad => VCC,
        cin => \u4|Add0~33\,
        combout => \u4|Add0~34_combout\,
        cout => \u4|Add0~35\);

\u4|Add0~44\ : cycloneii_lcell_comb
-- Equation(s):
-- \u4|Add0~44_combout\ = \u4|Counter\(22) & (\u4|Add0~43\ $ GND) # !\u4|Counter\(22) & !\u4|Add0~43\ & VCC
-- \u4|Add0~45\ = CARRY(\u4|Counter\(22) & !\u4|Add0~43\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010010100001010",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u4|Counter\(22),
        datad => VCC,
        cin => \u4|Add0~43\,
        combout => \u4|Add0~44_combout\,
        cout => \u4|Add0~45\);

\u4|Add0~46\ : cycloneii_lcell_comb
-- Equation(s):
-- \u4|Add0~46_combout\ = \u4|Counter\(23) & !\u4|Add0~45\ # !\u4|Counter\(23) & (\u4|Add0~45\ # GND)
-- \u4|Add0~47\ = CARRY(!\u4|Add0~45\ # !\u4|Counter\(23))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110000111111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u4|Counter\(23),
        datad => VCC,
        cin => \u4|Add0~45\,
        combout => \u4|Add0~46_combout\,
        cout => \u4|Add0~47\);

\u4|Add0~48\ : cycloneii_lcell_comb
-- Equation(s):
-- \u4|Add0~48_combout\ = \u4|Add0~47\ $ !\u4|Counter\(24)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000001111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datad => \u4|Counter\(24),
        cin => \u4|Add0~47\,
        combout => \u4|Add0~48_combout\);

\Add6~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \Add6~2_combout\ = Counter(1) & !\Add6~1\ # !Counter(1) & (\Add6~1\ # GND)
-- \Add6~3\ = CARRY(!\Add6~1\ # !Counter(1))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0101101001011111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => Counter(1),
        datad => VCC,
        cin => \Add6~1\,
        combout => \Add6~2_combout\,
        cout => \Add6~3\);

\Add6~4\ : cycloneii_lcell_comb
-- Equation(s):
-- \Add6~4_combout\ = Counter(2) & (\Add6~3\ $ GND) # !Counter(2) & !\Add6~3\ & VCC
-- \Add6~5\ = CARRY(Counter(2) & !\Add6~3\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010010100001010",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => Counter(2),
        datad => VCC,
        cin => \Add6~3\,
        combout => \Add6~4_combout\,
        cout => \Add6~5\);

\Add6~12\ : cycloneii_lcell_comb
-- Equation(s):
-- \Add6~12_combout\ = Counter(6) & (\Add6~11\ $ GND) # !Counter(6) & !\Add6~11\ & VCC
-- \Add6~13\ = CARRY(Counter(6) & !\Add6~11\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010010100001010",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => Counter(6),
        datad => VCC,
        cin => \Add6~11\,
        combout => \Add6~12_combout\,
        cout => \Add6~13\);

\Add6~30\ : cycloneii_lcell_comb
-- Equation(s):
-- \Add6~30_combout\ = Counter(15) & !\Add6~29\ # !Counter(15) & (\Add6~29\ # GND)
-- \Add6~31\ = CARRY(!\Add6~29\ # !Counter(15))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0101101001011111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => Counter(15),
        datad => VCC,
        cin => \Add6~29\,
        combout => \Add6~30_combout\,
        cout => \Add6~31\);

\Add6~34\ : cycloneii_lcell_comb
-- Equation(s):
-- \Add6~34_combout\ = Counter(17) & !\Add6~33\ # !Counter(17) & (\Add6~33\ # GND)
-- \Add6~35\ = CARRY(!\Add6~33\ # !Counter(17))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0101101001011111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => Counter(17),
        datad => VCC,
        cin => \Add6~33\,
        combout => \Add6~34_combout\,
        cout => \Add6~35\);

\Add6~40\ : cycloneii_lcell_comb
-- Equation(s):
-- \Add6~40_combout\ = Counter(20) & (\Add6~39\ $ GND) # !Counter(20) & !\Add6~39\ & VCC
-- \Add6~41\ = CARRY(Counter(20) & !\Add6~39\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010010100001010",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => Counter(20),
        datad => VCC,
        cin => \Add6~39\,
        combout => \Add6~40_combout\,
        cout => \Add6~41\);

\u6|Add15~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add15~0_combout\ = \u6|PCM_Data\(4) $ VCC
-- \u6|Add15~1\ = CARRY(\u6|PCM_Data\(4))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011001111001100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u6|PCM_Data\(4),
        datad => VCC,
        combout => \u6|Add15~0_combout\,
        cout => \u6|Add15~1\);

\u6|Add13~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add13~0_combout\ = \u6|PCM_Data\(6) $ VCC
-- \u6|Add13~1\ = CARRY(\u6|PCM_Data\(6))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0101010110101010",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|PCM_Data\(6),
        datad => VCC,
        combout => \u6|Add13~0_combout\,
        cout => \u6|Add13~1\);

\u6|Add11~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add11~0_combout\ = \u6|PCM_Data\(8) $ VCC
-- \u6|Add11~1\ = CARRY(\u6|PCM_Data\(8))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0101010110101010",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|PCM_Data\(8),
        datad => VCC,
        combout => \u6|Add11~0_combout\,
        cout => \u6|Add11~1\);

\u6|Add9~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add9~2_combout\ = \u6|Add8~2_combout\ & \u6|Add9~1\ & VCC # !\u6|Add8~2_combout\ & !\u6|Add9~1\
-- \u6|Add9~3\ = CARRY(!\u6|Add8~2_combout\ & !\u6|Add9~1\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100001100000011",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u6|Add8~2_combout\,
        datad => VCC,
        cin => \u6|Add9~1\,
        combout => \u6|Add9~2_combout\,
        cout => \u6|Add9~3\);

\u6|Add11~4\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add11~4_combout\ = \u6|Add10~21_combout\ & (GND # !\u6|Add11~3\) # !\u6|Add10~21_combout\ & (\u6|Add11~3\ $ GND)
-- \u6|Add11~5\ = CARRY(\u6|Add10~21_combout\ # !\u6|Add11~3\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0101101010101111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Add10~21_combout\,
        datad => VCC,
        cin => \u6|Add11~3\,
        combout => \u6|Add11~4_combout\,
        cout => \u6|Add11~5\);

\u6|Add11~6\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add11~6_combout\ = \u6|Add10~20_combout\ & \u6|Add11~5\ & VCC # !\u6|Add10~20_combout\ & !\u6|Add11~5\
-- \u6|Add11~7\ = CARRY(!\u6|Add10~20_combout\ & !\u6|Add11~5\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100001100000011",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u6|Add10~20_combout\,
        datad => VCC,
        cin => \u6|Add11~5\,
        combout => \u6|Add11~6_combout\,
        cout => \u6|Add11~7\);

\u6|Add12~9\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add12~9_combout\ = \u6|PCM_Data~142_combout\ & (GND # !\u6|Add12~8\) # !\u6|PCM_Data~142_combout\ & (\u6|Add12~8\ $ GND)
-- \u6|Add12~10\ = CARRY(\u6|PCM_Data~142_combout\ # !\u6|Add12~8\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110011001111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u6|PCM_Data~142_combout\,
        datad => VCC,
        cin => \u6|Add12~8\,
        combout => \u6|Add12~9_combout\,
        cout => \u6|Add12~10\);

\u6|Add13~6\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add13~6_combout\ = \u6|Add12~20_combout\ & \u6|Add13~5\ & VCC # !\u6|Add12~20_combout\ & !\u6|Add13~5\
-- \u6|Add13~7\ = CARRY(!\u6|Add12~20_combout\ & !\u6|Add13~5\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100001100000011",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u6|Add12~20_combout\,
        datad => VCC,
        cin => \u6|Add13~5\,
        combout => \u6|Add13~6_combout\,
        cout => \u6|Add13~7\);

\u6|Add14~3\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add14~3_combout\ = \u6|PCM_Data~148_combout\ & \u6|Add14~1\ & VCC # !\u6|PCM_Data~148_combout\ & !\u6|Add14~1\
-- \u6|Add14~4\ = CARRY(!\u6|PCM_Data~148_combout\ & !\u6|Add14~1\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100001100000011",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u6|PCM_Data~148_combout\,
        datad => VCC,
        cin => \u6|Add14~1\,
        combout => \u6|Add14~3_combout\,
        cout => \u6|Add14~4\);

\u6|Add14~15\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add14~15_combout\ = \u6|PCM_Data~147_combout\ & (\u6|Add13~12_combout\ & \u6|Add14~14\ & VCC # !\u6|Add13~12_combout\ & !\u6|Add14~14\) # !\u6|PCM_Data~147_combout\ & (!\u6|Add14~14\)
-- \u6|Add14~16\ = CARRY(!\u6|Add14~14\ & (!\u6|Add13~12_combout\ # !\u6|PCM_Data~147_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1000011100000111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|PCM_Data~147_combout\,
        datab => \u6|Add13~12_combout\,
        datad => VCC,
        cin => \u6|Add14~14\,
        combout => \u6|Add14~15_combout\,
        cout => \u6|Add14~16\);

\u6|Add14~17\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add14~17_combout\ = \u6|Add14~16\ & ((\u6|PCM_Data~147_combout\ & \u6|Add13~14_combout\)) # !\u6|Add14~16\ & (\u6|PCM_Data~147_combout\ & \u6|Add13~14_combout\ # GND)
-- \u6|Add14~18\ = CARRY(\u6|PCM_Data~147_combout\ & \u6|Add13~14_combout\ # !\u6|Add14~16\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0111100010001111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|PCM_Data~147_combout\,
        datab => \u6|Add13~14_combout\,
        datad => VCC,
        cin => \u6|Add14~16\,
        combout => \u6|Add14~17_combout\,
        cout => \u6|Add14~18\);

\u6|Add15~6\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add15~6_combout\ = \u6|Add14~23_combout\ & \u6|Add15~5\ & VCC # !\u6|Add14~23_combout\ & !\u6|Add15~5\
-- \u6|Add15~7\ = CARRY(!\u6|Add14~23_combout\ & !\u6|Add15~5\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100001100000011",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u6|Add14~23_combout\,
        datad => VCC,
        cin => \u6|Add15~5\,
        combout => \u6|Add15~6_combout\,
        cout => \u6|Add15~7\);

\u6|Add15~10\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add15~10_combout\ = \u6|Add14~26_combout\ & \u6|Add15~9\ & VCC # !\u6|Add14~26_combout\ & !\u6|Add15~9\
-- \u6|Add15~11\ = CARRY(!\u6|Add14~26_combout\ & !\u6|Add15~9\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100001100000011",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u6|Add14~26_combout\,
        datad => VCC,
        cin => \u6|Add15~9\,
        combout => \u6|Add15~10_combout\,
        cout => \u6|Add15~11\);

\u6|Add15~12\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add15~12_combout\ = \u6|Add14~27_combout\ & (GND # !\u6|Add15~11\) # !\u6|Add14~27_combout\ & (\u6|Add15~11\ $ GND)
-- \u6|Add15~13\ = CARRY(\u6|Add14~27_combout\ # !\u6|Add15~11\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110011001111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u6|Add14~27_combout\,
        datad => VCC,
        cin => \u6|Add15~11\,
        combout => \u6|Add15~12_combout\,
        cout => \u6|Add15~13\);

\u6|Add15~16\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add15~16_combout\ = \u6|Add14~31_combout\ & (GND # !\u6|Add15~15\) # !\u6|Add14~31_combout\ & (\u6|Add15~15\ $ GND)
-- \u6|Add15~17\ = CARRY(\u6|Add14~31_combout\ # !\u6|Add15~15\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0101101010101111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Add14~31_combout\,
        datad => VCC,
        cin => \u6|Add15~15\,
        combout => \u6|Add15~16_combout\,
        cout => \u6|Add15~17\);

\u6|Add15~18\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add15~18_combout\ = \u6|Add14~32_combout\ & \u6|Add15~17\ & VCC # !\u6|Add14~32_combout\ & !\u6|Add15~17\
-- \u6|Add15~19\ = CARRY(!\u6|Add14~32_combout\ & !\u6|Add15~17\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010010100000101",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Add14~32_combout\,
        datad => VCC,
        cin => \u6|Add15~17\,
        combout => \u6|Add15~18_combout\,
        cout => \u6|Add15~19\);

\u6|Add15~20\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add15~20_combout\ = \u6|Add14~21_combout\ & (GND # !\u6|Add15~19\) # !\u6|Add14~21_combout\ & (\u6|Add15~19\ $ GND)
-- \u6|Add15~21\ = CARRY(\u6|Add14~21_combout\ # !\u6|Add15~19\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110011001111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u6|Add14~21_combout\,
        datad => VCC,
        cin => \u6|Add15~19\,
        combout => \u6|Add15~20_combout\,
        cout => \u6|Add15~21\);

\u6|Add16~19\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add16~19_combout\ = \u6|Add15~16_combout\ & (\u6|LessThan13~3_combout\ & \u6|Add16~18\ & VCC # !\u6|LessThan13~3_combout\ & !\u6|Add16~18\) # !\u6|Add15~16_combout\ & (!\u6|Add16~18\)
-- \u6|Add16~20\ = CARRY(!\u6|Add16~18\ & (!\u6|LessThan13~3_combout\ # !\u6|Add15~16_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1000011100000111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Add15~16_combout\,
        datab => \u6|LessThan13~3_combout\,
        datad => VCC,
        cin => \u6|Add16~18\,
        combout => \u6|Add16~19_combout\,
        cout => \u6|Add16~20\);

\u6|Add17~14\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add17~14_combout\ = \u6|Add16~32_combout\ & \u6|Add17~13\ & VCC # !\u6|Add16~32_combout\ & !\u6|Add17~13\
-- \u6|Add17~15\ = CARRY(!\u6|Add16~32_combout\ & !\u6|Add17~13\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010010100000101",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Add16~32_combout\,
        datad => VCC,
        cin => \u6|Add17~13\,
        combout => \u6|Add17~14_combout\,
        cout => \u6|Add17~15\);

\u6|Add18~12\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add18~12_combout\ = \u6|PCM_Data~171_combout\ & \u6|Add18~11\ & VCC # !\u6|PCM_Data~171_combout\ & !\u6|Add18~11\
-- \u6|Add18~13\ = CARRY(!\u6|PCM_Data~171_combout\ & !\u6|Add18~11\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100001100000011",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u6|PCM_Data~171_combout\,
        datad => VCC,
        cin => \u6|Add18~11\,
        combout => \u6|Add18~12_combout\,
        cout => \u6|Add18~13\);

\u6|Add18~14\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add18~14_combout\ = \u6|PCM_Data~170_combout\ & (GND # !\u6|Add18~13\) # !\u6|PCM_Data~170_combout\ & (\u6|Add18~13\ $ GND)
-- \u6|Add18~15\ = CARRY(\u6|PCM_Data~170_combout\ # !\u6|Add18~13\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0101101010101111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|PCM_Data~170_combout\,
        datad => VCC,
        cin => \u6|Add18~13\,
        combout => \u6|Add18~14_combout\,
        cout => \u6|Add18~15\);

\u6|Add18~20\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add18~20_combout\ = \u6|PCM_Data~163_combout\ & \u6|Add18~19\ & VCC # !\u6|PCM_Data~163_combout\ & !\u6|Add18~19\
-- \u6|Add18~21\ = CARRY(!\u6|PCM_Data~163_combout\ & !\u6|Add18~19\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100001100000011",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u6|PCM_Data~163_combout\,
        datad => VCC,
        cin => \u6|Add18~19\,
        combout => \u6|Add18~20_combout\,
        cout => \u6|Add18~21\);

\u6|Add18~22\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add18~22_combout\ = \u6|PCM_Data~169_combout\ & (GND # !\u6|Add18~21\) # !\u6|PCM_Data~169_combout\ & (\u6|Add18~21\ $ GND)
-- \u6|Add18~23\ = CARRY(\u6|PCM_Data~169_combout\ # !\u6|Add18~21\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110011001111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u6|PCM_Data~169_combout\,
        datad => VCC,
        cin => \u6|Add18~21\,
        combout => \u6|Add18~22_combout\,
        cout => \u6|Add18~23\);

\u6|Add18~28\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add18~28_combout\ = \u6|LessThan15~5_combout\ & (\u6|Add17~24_combout\ & \u6|Add18~27\ & VCC # !\u6|Add17~24_combout\ & !\u6|Add18~27\) # !\u6|LessThan15~5_combout\ & (!\u6|Add18~27\)
-- \u6|Add18~29\ = CARRY(!\u6|Add18~27\ & (!\u6|Add17~24_combout\ # !\u6|LessThan15~5_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1000011100000111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|LessThan15~5_combout\,
        datab => \u6|Add17~24_combout\,
        datad => VCC,
        cin => \u6|Add18~27\,
        combout => \u6|Add18~28_combout\,
        cout => \u6|Add18~29\);

\u5|Mult0|auto_generated|mac_out2\ : cycloneii_mac_out
-- pragma translate_off
GENERIC MAP (
        dataa_width => 36,
        output_clock => "none")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Mult0|auto_generated|mac_out2_DATAA_bus\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        dataout => \u5|Mult0|auto_generated|mac_out2_DATAOUT_bus\);

\u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[2]~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[2]~0_combout\ = \u5|Mult0|auto_generated|mac_out2~DATAOUT15\ $ VCC
-- \u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[2]~1\ = CARRY(\u5|Mult0|auto_generated|mac_out2~DATAOUT15\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011001111001100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u5|Mult0|auto_generated|mac_out2~DATAOUT15\,
        datad => VCC,
        combout => \u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[2]~0_combout\,
        cout => \u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[2]~1\);

\u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[4]~4\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[4]~4_combout\ = \u5|Mult0|auto_generated|mac_out2~DATAOUT17\ & (GND # !\u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[3]~3\) # !\u5|Mult0|auto_generated|mac_out2~DATAOUT17\ & 
-- (\u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[3]~3\ $ GND)
-- \u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[4]~5\ = CARRY(\u5|Mult0|auto_generated|mac_out2~DATAOUT17\ # !\u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[3]~3\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0101101010101111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Mult0|auto_generated|mac_out2~DATAOUT17\,
        datad => VCC,
        cin => \u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[3]~3\,
        combout => \u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[4]~4_combout\,
        cout => \u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[4]~5\);

\u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[5]~6\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[5]~6_combout\ = \u5|Mult0|auto_generated|mac_out2~DATAOUT18\ & !\u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[4]~5\ # !\u5|Mult0|auto_generated|mac_out2~DATAOUT18\ & 
-- (\u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[4]~5\ # GND)
-- \u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[5]~7\ = CARRY(!\u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[4]~5\ # !\u5|Mult0|auto_generated|mac_out2~DATAOUT18\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110000111111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u5|Mult0|auto_generated|mac_out2~DATAOUT18\,
        datad => VCC,
        cin => \u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[4]~5\,
        combout => \u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[5]~6_combout\,
        cout => \u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[5]~7\);

\u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[6]~8\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[6]~8_combout\ = \u5|Mult0|auto_generated|mac_out2~DATAOUT19\ & (\u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[5]~7\ $ GND) # !\u5|Mult0|auto_generated|mac_out2~DATAOUT19\ & 
-- !\u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[5]~7\ & VCC
-- \u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[6]~9\ = CARRY(\u5|Mult0|auto_generated|mac_out2~DATAOUT19\ & !\u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[5]~7\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100001100001100",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u5|Mult0|auto_generated|mac_out2~DATAOUT19\,
        datad => VCC,
        cin => \u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[5]~7\,
        combout => \u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[6]~8_combout\,
        cout => \u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[6]~9\);

\u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[1]~14\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[1]~14_combout\ = \u5|Div0|auto_generated|divider|divider|StageOut[48]~223_combout\ # \u5|Div0|auto_generated|divider|divider|StageOut[48]~215_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|StageOut[48]~223_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|StageOut[48]~215_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[1]~14_combout\);

\u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[1]~14\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[1]~14_combout\ = \u5|Div0|auto_generated|divider|divider|StageOut[56]~199_combout\ # \u5|Div0|auto_generated|divider|divider|StageOut[56]~207_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|StageOut[56]~199_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|StageOut[56]~207_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[1]~14_combout\);

\u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[4]~4\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[4]~4_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[3]~3\ & ((\u5|Div0|auto_generated|divider|divider|StageOut[67]~188_combout\ # 
-- \u5|Div0|auto_generated|divider|divider|StageOut[67]~581_combout\)) # !\u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[3]~3\ & (\u5|Div0|auto_generated|divider|divider|StageOut[67]~188_combout\ # 
-- \u5|Div0|auto_generated|divider|divider|StageOut[67]~581_combout\ # GND)
-- \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[4]~5\ = CARRY(\u5|Div0|auto_generated|divider|divider|StageOut[67]~188_combout\ # \u5|Div0|auto_generated|divider|divider|StageOut[67]~581_combout\ # 
-- !\u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[3]~3\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0001111011101111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[67]~188_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[67]~581_combout\,
        datad => VCC,
        cin => \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[3]~3\,
        combout => \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[4]~4_combout\,
        cout => \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[4]~5\);

\u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[6]~8\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[6]~8_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[5]~7\ & (\u5|Div0|auto_generated|divider|divider|StageOut[69]~535_combout\ # 
-- \u5|Div0|auto_generated|divider|divider|StageOut[69]~186_combout\) # !\u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[5]~7\ & ((\u5|Div0|auto_generated|divider|divider|StageOut[69]~535_combout\ # 
-- \u5|Div0|auto_generated|divider|divider|StageOut[69]~186_combout\))
-- \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[6]~9\ = CARRY(!\u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[5]~7\ & (\u5|Div0|auto_generated|divider|divider|StageOut[69]~535_combout\ # 
-- \u5|Div0|auto_generated|divider|divider|StageOut[69]~186_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1110000100001110",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[69]~535_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[69]~186_combout\,
        datad => VCC,
        cin => \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[5]~7\,
        combout => \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[6]~8_combout\,
        cout => \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[6]~9\);

\u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[2]~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[2]~0_combout\ = (\u5|Div0|auto_generated|divider|divider|StageOut[73]~166_combout\ # \u5|Div0|auto_generated|divider|divider|StageOut[73]~174_combout\)
-- \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[2]~1\ = CARRY(\u5|Div0|auto_generated|divider|divider|StageOut[73]~166_combout\ # \u5|Div0|auto_generated|divider|divider|StageOut[73]~174_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0001000111101110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[73]~166_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[73]~174_combout\,
        datad => VCC,
        combout => \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[2]~0_combout\,
        cout => \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[2]~1\);

\u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[6]~8\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[6]~8_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[5]~7\ & (\u5|Div0|auto_generated|divider|divider|StageOut[77]~538_combout\ # 
-- \u5|Div0|auto_generated|divider|divider|StageOut[77]~170_combout\) # !\u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[5]~7\ & ((\u5|Div0|auto_generated|divider|divider|StageOut[77]~538_combout\ # 
-- \u5|Div0|auto_generated|divider|divider|StageOut[77]~170_combout\))
-- \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[6]~9\ = CARRY(!\u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[5]~7\ & (\u5|Div0|auto_generated|divider|divider|StageOut[77]~538_combout\ # 
-- \u5|Div0|auto_generated|divider|divider|StageOut[77]~170_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1110000100001110",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[77]~538_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[77]~170_combout\,
        datad => VCC,
        cin => \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[5]~7\,
        combout => \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[6]~8_combout\,
        cout => \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[6]~9\);

\u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[1]~14\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[1]~14_combout\ = \u5|Div0|auto_generated|divider|divider|StageOut[72]~175_combout\ # \u5|Div0|auto_generated|divider|divider|StageOut[72]~167_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|StageOut[72]~175_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|StageOut[72]~167_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[1]~14_combout\);

\u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[6]~8\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[6]~8_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[5]~7\ & (\u5|Div0|auto_generated|divider|divider|StageOut[85]~542_combout\ # 
-- \u5|Div0|auto_generated|divider|divider|StageOut[85]~154_combout\) # !\u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[5]~7\ & ((\u5|Div0|auto_generated|divider|divider|StageOut[85]~542_combout\ # 
-- \u5|Div0|auto_generated|divider|divider|StageOut[85]~154_combout\))
-- \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[6]~9\ = CARRY(!\u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[5]~7\ & (\u5|Div0|auto_generated|divider|divider|StageOut[85]~542_combout\ # 
-- \u5|Div0|auto_generated|divider|divider|StageOut[85]~154_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1110000100001110",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[85]~542_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[85]~154_combout\,
        datad => VCC,
        cin => \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[5]~7\,
        combout => \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[6]~8_combout\,
        cout => \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[6]~9\);

\u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[2]~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[2]~0_combout\ = (\u5|Div0|auto_generated|divider|divider|StageOut[89]~134_combout\ # \u5|Div0|auto_generated|divider|divider|StageOut[89]~142_combout\)
-- \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[2]~1\ = CARRY(\u5|Div0|auto_generated|divider|divider|StageOut[89]~134_combout\ # \u5|Div0|auto_generated|divider|divider|StageOut[89]~142_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0001000111101110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[89]~134_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[89]~142_combout\,
        datad => VCC,
        combout => \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[2]~0_combout\,
        cout => \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[2]~1\);

\u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[5]~6\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[5]~6_combout\ = \u5|Div0|auto_generated|divider|divider|StageOut[92]~547_combout\ & (!\u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[4]~5\) # 
-- !\u5|Div0|auto_generated|divider|divider|StageOut[92]~547_combout\ & (\u5|Div0|auto_generated|divider|divider|StageOut[92]~139_combout\ & !\u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[4]~5\ # 
-- !\u5|Div0|auto_generated|divider|divider|StageOut[92]~139_combout\ & (\u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[4]~5\ # GND))
-- \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[5]~7\ = CARRY(!\u5|Div0|auto_generated|divider|divider|StageOut[92]~547_combout\ & !\u5|Div0|auto_generated|divider|divider|StageOut[92]~139_combout\ # 
-- !\u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[4]~5\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0001111000011111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[92]~547_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[92]~139_combout\,
        datad => VCC,
        cin => \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[4]~5\,
        combout => \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[5]~6_combout\,
        cout => \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[5]~7\);

\u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[6]~8\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[6]~8_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[5]~7\ & (\u5|Div0|auto_generated|divider|divider|StageOut[93]~138_combout\ # 
-- \u5|Div0|auto_generated|divider|divider|StageOut[93]~546_combout\) # !\u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[5]~7\ & ((\u5|Div0|auto_generated|divider|divider|StageOut[93]~138_combout\ # 
-- \u5|Div0|auto_generated|divider|divider|StageOut[93]~546_combout\))
-- \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[6]~9\ = CARRY(!\u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[5]~7\ & (\u5|Div0|auto_generated|divider|divider|StageOut[93]~138_combout\ # 
-- \u5|Div0|auto_generated|divider|divider|StageOut[93]~546_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1110000100001110",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[93]~138_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[93]~546_combout\,
        datad => VCC,
        cin => \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[5]~7\,
        combout => \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[6]~8_combout\,
        cout => \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[6]~9\);

\u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[6]~8\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[6]~8_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[5]~7\ & (\u5|Div0|auto_generated|divider|divider|StageOut[101]~122_combout\ # 
-- \u5|Div0|auto_generated|divider|divider|StageOut[101]~550_combout\) # !\u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[5]~7\ & ((\u5|Div0|auto_generated|divider|divider|StageOut[101]~122_combout\ # 
-- \u5|Div0|auto_generated|divider|divider|StageOut[101]~550_combout\))
-- \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[6]~9\ = CARRY(!\u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[5]~7\ & (\u5|Div0|auto_generated|divider|divider|StageOut[101]~122_combout\ # 
-- \u5|Div0|auto_generated|divider|divider|StageOut[101]~550_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1110000100001110",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[101]~122_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[101]~550_combout\,
        datad => VCC,
        cin => \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[5]~7\,
        combout => \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[6]~8_combout\,
        cout => \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[6]~9\);

\u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[1]~14\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[1]~14_combout\ = \u5|Div0|auto_generated|divider|divider|StageOut[96]~127_combout\ # \u5|Div0|auto_generated|divider|divider|StageOut[96]~119_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|StageOut[96]~127_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|StageOut[96]~119_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[1]~14_combout\);

\u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[4]~4\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[4]~4_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[3]~3\ & ((\u5|Div0|auto_generated|divider|divider|StageOut[107]~556_combout\ # 
-- \u5|Div0|auto_generated|divider|divider|StageOut[107]~108_combout\)) # !\u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[3]~3\ & (\u5|Div0|auto_generated|divider|divider|StageOut[107]~556_combout\ # 
-- \u5|Div0|auto_generated|divider|divider|StageOut[107]~108_combout\ # GND)
-- \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[4]~5\ = CARRY(\u5|Div0|auto_generated|divider|divider|StageOut[107]~556_combout\ # \u5|Div0|auto_generated|divider|divider|StageOut[107]~108_combout\ # 
-- !\u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[3]~3\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0001111011101111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[107]~556_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[107]~108_combout\,
        datad => VCC,
        cin => \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[3]~3\,
        combout => \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[4]~4_combout\,
        cout => \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[4]~5\);

\u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[4]~4\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[4]~4_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[3]~3\ & ((\u5|Div0|auto_generated|divider|divider|StageOut[115]~92_combout\ # 
-- \u5|Div0|auto_generated|divider|divider|StageOut[115]~560_combout\)) # !\u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[3]~3\ & (\u5|Div0|auto_generated|divider|divider|StageOut[115]~92_combout\ # 
-- \u5|Div0|auto_generated|divider|divider|StageOut[115]~560_combout\ # GND)
-- \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[4]~5\ = CARRY(\u5|Div0|auto_generated|divider|divider|StageOut[115]~92_combout\ # \u5|Div0|auto_generated|divider|divider|StageOut[115]~560_combout\ # 
-- !\u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[3]~3\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0001111011101111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[115]~92_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[115]~560_combout\,
        datad => VCC,
        cin => \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[3]~3\,
        combout => \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[4]~4_combout\,
        cout => \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[4]~5\);

\u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[6]~8\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[6]~8_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[5]~7\ & (\u5|Div0|auto_generated|divider|divider|StageOut[117]~558_combout\ # 
-- \u5|Div0|auto_generated|divider|divider|StageOut[117]~90_combout\) # !\u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[5]~7\ & ((\u5|Div0|auto_generated|divider|divider|StageOut[117]~558_combout\ # 
-- \u5|Div0|auto_generated|divider|divider|StageOut[117]~90_combout\))
-- \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[6]~9\ = CARRY(!\u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[5]~7\ & (\u5|Div0|auto_generated|divider|divider|StageOut[117]~558_combout\ # 
-- \u5|Div0|auto_generated|divider|divider|StageOut[117]~90_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1110000100001110",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[117]~558_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[117]~90_combout\,
        datad => VCC,
        cin => \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[5]~7\,
        combout => \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[6]~8_combout\,
        cout => \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[6]~9\);

\u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[1]~14\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[1]~14_combout\ = \u5|Div0|auto_generated|divider|divider|StageOut[112]~95_combout\ # \u5|Div0|auto_generated|divider|divider|StageOut[112]~87_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|StageOut[112]~95_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|StageOut[112]~87_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[1]~14_combout\);

\u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[1]~14\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[1]~14_combout\ = \u5|Div0|auto_generated|divider|divider|StageOut[120]~71_combout\ # \u5|Div0|auto_generated|divider|divider|StageOut[120]~79_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|StageOut[120]~71_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|StageOut[120]~79_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[1]~14_combout\);

\u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[1]~14\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[1]~14_combout\ = \u5|Div0|auto_generated|divider|divider|StageOut[128]~55_combout\ # \u5|Div0|auto_generated|divider|divider|StageOut[128]~63_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|StageOut[128]~55_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|StageOut[128]~63_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[1]~14_combout\);

\u5|Div0|auto_generated|divider|divider|op_9~21\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|op_9~21_combout\ = (\u5|Div0|auto_generated|divider|divider|StageOut[137]~46_combout\ # \u5|Div0|auto_generated|divider|divider|StageOut[137]~38_combout\)
-- \u5|Div0|auto_generated|divider|divider|op_9~22\ = CARRY(\u5|Div0|auto_generated|divider|divider|StageOut[137]~46_combout\ # \u5|Div0|auto_generated|divider|divider|StageOut[137]~38_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0001000111101110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[137]~46_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[137]~38_combout\,
        datad => VCC,
        combout => \u5|Div0|auto_generated|divider|divider|op_9~21_combout\,
        cout => \u5|Div0|auto_generated|divider|divider|op_9~22\);

\u5|Div0|auto_generated|divider|divider|op_9~23\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|op_9~23_combout\ = \u5|Div0|auto_generated|divider|divider|op_9~22\ & (\u5|Div0|auto_generated|divider|divider|StageOut[138]~591_combout\ # \u5|Div0|auto_generated|divider|divider|StageOut[138]~45_combout\) # 
-- !\u5|Div0|auto_generated|divider|divider|op_9~22\ & !\u5|Div0|auto_generated|divider|divider|StageOut[138]~591_combout\ & !\u5|Div0|auto_generated|divider|divider|StageOut[138]~45_combout\
-- \u5|Div0|auto_generated|divider|divider|op_9~24\ = CARRY(!\u5|Div0|auto_generated|divider|divider|StageOut[138]~591_combout\ & !\u5|Div0|auto_generated|divider|divider|StageOut[138]~45_combout\ & !\u5|Div0|auto_generated|divider|divider|op_9~22\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1110000100000001",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[138]~591_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[138]~45_combout\,
        datad => VCC,
        cin => \u5|Div0|auto_generated|divider|divider|op_9~22\,
        combout => \u5|Div0|auto_generated|divider|divider|op_9~23_combout\,
        cout => \u5|Div0|auto_generated|divider|divider|op_9~24\);

\u5|Div0|auto_generated|divider|divider|op_9~27\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|op_9~27_combout\ = \u5|Div0|auto_generated|divider|divider|StageOut[140]~571_combout\ & (!\u5|Div0|auto_generated|divider|divider|op_9~26\) # !\u5|Div0|auto_generated|divider|divider|StageOut[140]~571_combout\ & 
-- (\u5|Div0|auto_generated|divider|divider|StageOut[140]~43_combout\ & !\u5|Div0|auto_generated|divider|divider|op_9~26\ # !\u5|Div0|auto_generated|divider|divider|StageOut[140]~43_combout\ & (\u5|Div0|auto_generated|divider|divider|op_9~26\ # GND))
-- \u5|Div0|auto_generated|divider|divider|op_9~28\ = CARRY(!\u5|Div0|auto_generated|divider|divider|StageOut[140]~571_combout\ & !\u5|Div0|auto_generated|divider|divider|StageOut[140]~43_combout\ # !\u5|Div0|auto_generated|divider|divider|op_9~26\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0001111000011111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[140]~571_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[140]~43_combout\,
        datad => VCC,
        cin => \u5|Div0|auto_generated|divider|divider|op_9~26\,
        combout => \u5|Div0|auto_generated|divider|divider|op_9~27_combout\,
        cout => \u5|Div0|auto_generated|divider|divider|op_9~28\);

\u5|Div0|auto_generated|divider|divider|op_9~29\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|op_9~29_combout\ = \u5|Div0|auto_generated|divider|divider|op_9~28\ & (\u5|Div0|auto_generated|divider|divider|StageOut[141]~570_combout\ # \u5|Div0|auto_generated|divider|divider|StageOut[141]~42_combout\) # 
-- !\u5|Div0|auto_generated|divider|divider|op_9~28\ & ((\u5|Div0|auto_generated|divider|divider|StageOut[141]~570_combout\ # \u5|Div0|auto_generated|divider|divider|StageOut[141]~42_combout\))
-- \u5|Div0|auto_generated|divider|divider|op_9~30\ = CARRY(!\u5|Div0|auto_generated|divider|divider|op_9~28\ & (\u5|Div0|auto_generated|divider|divider|StageOut[141]~570_combout\ # \u5|Div0|auto_generated|divider|divider|StageOut[141]~42_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1110000100001110",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[141]~570_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[141]~42_combout\,
        datad => VCC,
        cin => \u5|Div0|auto_generated|divider|divider|op_9~28\,
        combout => \u5|Div0|auto_generated|divider|divider|op_9~29_combout\,
        cout => \u5|Div0|auto_generated|divider|divider|op_9~30\);

\u5|Div0|auto_generated|divider|divider|op_9~35\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|op_9~35_combout\ = \u5|Div0|auto_generated|divider|divider|StageOut[136]~39_combout\ # \u5|Div0|auto_generated|divider|divider|StageOut[136]~47_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|StageOut[136]~39_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|StageOut[136]~47_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|op_9~35_combout\);

\u6|Add19~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add19~2_combout\ = \u6|Add18~3_combout\ & \u6|Add19~1\ & VCC # !\u6|Add18~3_combout\ & !\u6|Add19~1\
-- \u6|Add19~3\ = CARRY(!\u6|Add18~3_combout\ & !\u6|Add19~1\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010010100000101",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Add18~3_combout\,
        datad => VCC,
        cin => \u6|Add19~1\,
        combout => \u6|Add19~2_combout\,
        cout => \u6|Add19~3\);

\u6|Add19~4\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add19~4_combout\ = \u6|Add18~36_combout\ & (GND # !\u6|Add19~3\) # !\u6|Add18~36_combout\ & (\u6|Add19~3\ $ GND)
-- \u6|Add19~5\ = CARRY(\u6|Add18~36_combout\ # !\u6|Add19~3\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110011001111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u6|Add18~36_combout\,
        datad => VCC,
        cin => \u6|Add19~3\,
        combout => \u6|Add19~4_combout\,
        cout => \u6|Add19~5\);

\u6|Add19~16\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add19~16_combout\ = \u6|Add18~42_combout\ & (GND # !\u6|Add19~15\) # !\u6|Add18~42_combout\ & (\u6|Add19~15\ $ GND)
-- \u6|Add19~17\ = CARRY(\u6|Add18~42_combout\ # !\u6|Add19~15\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110011001111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u6|Add18~42_combout\,
        datad => VCC,
        cin => \u6|Add19~15\,
        combout => \u6|Add19~16_combout\,
        cout => \u6|Add19~17\);

\u5|ADPCM_Decoder_Step_Size_Table_Pointer[5]~_Duplicate_2\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[5]~54_combout\,
        ena => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[9]~45_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[5]~_Duplicate_2_regout\);

\u5|ADPCM_Decoder_Step_Size_Table_Pointer[8]~_Duplicate_2\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[8]~60_combout\,
        ena => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[9]~45_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[8]~_Duplicate_2_regout\);

\u6|Mult0|auto_generated|mac_out2\ : cycloneii_mac_out
-- pragma translate_off
GENERIC MAP (
        dataa_width => 36,
        output_clock => "none")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Mult0|auto_generated|mac_out2_DATAA_bus\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        dataout => \u6|Mult0|auto_generated|mac_out2_DATAOUT_bus\);

\u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[3]~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[3]~2_combout\ = \u6|Mult0|auto_generated|mac_out2~DATAOUT16\ & \u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[2]~1\ & VCC # !\u6|Mult0|auto_generated|mac_out2~DATAOUT16\ & 
-- !\u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[2]~1\
-- \u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[3]~3\ = CARRY(!\u6|Mult0|auto_generated|mac_out2~DATAOUT16\ & !\u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[2]~1\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100001100000011",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u6|Mult0|auto_generated|mac_out2~DATAOUT16\,
        datad => VCC,
        cin => \u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[2]~1\,
        combout => \u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[3]~2_combout\,
        cout => \u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[3]~3\);

\u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[6]~8\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[6]~8_combout\ = \u6|Mult0|auto_generated|mac_out2~DATAOUT19\ & (\u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[5]~7\ $ GND) # !\u6|Mult0|auto_generated|mac_out2~DATAOUT19\ & 
-- !\u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[5]~7\ & VCC
-- \u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[6]~9\ = CARRY(\u6|Mult0|auto_generated|mac_out2~DATAOUT19\ & !\u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[5]~7\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010010100001010",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Mult0|auto_generated|mac_out2~DATAOUT19\,
        datad => VCC,
        cin => \u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[5]~7\,
        combout => \u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[6]~8_combout\,
        cout => \u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[6]~9\);

\u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[5]~6\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[5]~6_combout\ = \u6|Div0|auto_generated|divider|divider|StageOut[52]~211_combout\ & (!\u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[4]~5\) # 
-- !\u6|Div0|auto_generated|divider|divider|StageOut[52]~211_combout\ & (\u6|Div0|auto_generated|divider|divider|StageOut[52]~219_combout\ & !\u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[4]~5\ # 
-- !\u6|Div0|auto_generated|divider|divider|StageOut[52]~219_combout\ & (\u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[4]~5\ # GND))
-- \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[5]~7\ = CARRY(!\u6|Div0|auto_generated|divider|divider|StageOut[52]~211_combout\ & !\u6|Div0|auto_generated|divider|divider|StageOut[52]~219_combout\ # 
-- !\u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[4]~5\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0001111000011111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[52]~211_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|StageOut[52]~219_combout\,
        datad => VCC,
        cin => \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[4]~5\,
        combout => \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[5]~6_combout\,
        cout => \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[5]~7\);

\u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[5]~6\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[5]~6_combout\ = \u6|Div0|auto_generated|divider|divider|StageOut[60]~579_combout\ & (!\u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[4]~5\) # 
-- !\u6|Div0|auto_generated|divider|divider|StageOut[60]~579_combout\ & (\u6|Div0|auto_generated|divider|divider|StageOut[60]~203_combout\ & !\u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[4]~5\ # 
-- !\u6|Div0|auto_generated|divider|divider|StageOut[60]~203_combout\ & (\u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[4]~5\ # GND))
-- \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[5]~7\ = CARRY(!\u6|Div0|auto_generated|divider|divider|StageOut[60]~579_combout\ & !\u6|Div0|auto_generated|divider|divider|StageOut[60]~203_combout\ # 
-- !\u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[4]~5\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0001111000011111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[60]~579_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|StageOut[60]~203_combout\,
        datad => VCC,
        cin => \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[4]~5\,
        combout => \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[5]~6_combout\,
        cout => \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[5]~7\);

\u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[3]~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[3]~2_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[2]~1\ & (\u6|Div0|auto_generated|divider|divider|StageOut[66]~582_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|StageOut[66]~189_combout\) # !\u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[2]~1\ & !\u6|Div0|auto_generated|divider|divider|StageOut[66]~582_combout\ & 
-- !\u6|Div0|auto_generated|divider|divider|StageOut[66]~189_combout\
-- \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[3]~3\ = CARRY(!\u6|Div0|auto_generated|divider|divider|StageOut[66]~582_combout\ & !\u6|Div0|auto_generated|divider|divider|StageOut[66]~189_combout\ & 
-- !\u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[2]~1\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1110000100000001",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[66]~582_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|StageOut[66]~189_combout\,
        datad => VCC,
        cin => \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[2]~1\,
        combout => \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[3]~2_combout\,
        cout => \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[3]~3\);

\u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[2]~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[2]~0_combout\ = (\u6|Div0|auto_generated|divider|divider|StageOut[81]~150_combout\ # \u6|Div0|auto_generated|divider|divider|StageOut[81]~158_combout\)
-- \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[2]~1\ = CARRY(\u6|Div0|auto_generated|divider|divider|StageOut[81]~150_combout\ # \u6|Div0|auto_generated|divider|divider|StageOut[81]~158_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0001000111101110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[81]~150_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|StageOut[81]~158_combout\,
        datad => VCC,
        combout => \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[2]~0_combout\,
        cout => \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[2]~1\);

\u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[3]~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[3]~2_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[2]~1\ & (\u6|Div0|auto_generated|divider|divider|StageOut[82]~584_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|StageOut[82]~157_combout\) # !\u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[2]~1\ & !\u6|Div0|auto_generated|divider|divider|StageOut[82]~584_combout\ & 
-- !\u6|Div0|auto_generated|divider|divider|StageOut[82]~157_combout\
-- \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[3]~3\ = CARRY(!\u6|Div0|auto_generated|divider|divider|StageOut[82]~584_combout\ & !\u6|Div0|auto_generated|divider|divider|StageOut[82]~157_combout\ & 
-- !\u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[2]~1\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1110000100000001",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[82]~584_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|StageOut[82]~157_combout\,
        datad => VCC,
        cin => \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[2]~1\,
        combout => \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[3]~2_combout\,
        cout => \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[3]~3\);

\u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[5]~6\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[5]~6_combout\ = \u6|Div0|auto_generated|divider|divider|StageOut[84]~543_combout\ & (!\u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[4]~5\) # 
-- !\u6|Div0|auto_generated|divider|divider|StageOut[84]~543_combout\ & (\u6|Div0|auto_generated|divider|divider|StageOut[84]~155_combout\ & !\u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[4]~5\ # 
-- !\u6|Div0|auto_generated|divider|divider|StageOut[84]~155_combout\ & (\u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[4]~5\ # GND))
-- \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[5]~7\ = CARRY(!\u6|Div0|auto_generated|divider|divider|StageOut[84]~543_combout\ & !\u6|Div0|auto_generated|divider|divider|StageOut[84]~155_combout\ # 
-- !\u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[4]~5\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0001111000011111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[84]~543_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|StageOut[84]~155_combout\,
        datad => VCC,
        cin => \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[4]~5\,
        combout => \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[5]~6_combout\,
        cout => \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[5]~7\);

\u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[6]~8\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[6]~8_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[5]~7\ & (\u6|Div0|auto_generated|divider|divider|StageOut[85]~542_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|StageOut[85]~154_combout\) # !\u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[5]~7\ & ((\u6|Div0|auto_generated|divider|divider|StageOut[85]~542_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|StageOut[85]~154_combout\))
-- \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[6]~9\ = CARRY(!\u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[5]~7\ & (\u6|Div0|auto_generated|divider|divider|StageOut[85]~542_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|StageOut[85]~154_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1110000100001110",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[85]~542_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|StageOut[85]~154_combout\,
        datad => VCC,
        cin => \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[5]~7\,
        combout => \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[6]~8_combout\,
        cout => \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[6]~9\);

\u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[1]~14\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[1]~14_combout\ = \u6|Div0|auto_generated|divider|divider|StageOut[80]~159_combout\ # \u6|Div0|auto_generated|divider|divider|StageOut[80]~151_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|StageOut[80]~159_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|StageOut[80]~151_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[1]~14_combout\);

\u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[5]~6\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[5]~6_combout\ = \u6|Div0|auto_generated|divider|divider|StageOut[100]~551_combout\ & (!\u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[4]~5\) # 
-- !\u6|Div0|auto_generated|divider|divider|StageOut[100]~551_combout\ & (\u6|Div0|auto_generated|divider|divider|StageOut[100]~123_combout\ & !\u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[4]~5\ # 
-- !\u6|Div0|auto_generated|divider|divider|StageOut[100]~123_combout\ & (\u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[4]~5\ # GND))
-- \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[5]~7\ = CARRY(!\u6|Div0|auto_generated|divider|divider|StageOut[100]~551_combout\ & !\u6|Div0|auto_generated|divider|divider|StageOut[100]~123_combout\ # 
-- !\u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[4]~5\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0001111000011111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[100]~551_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|StageOut[100]~123_combout\,
        datad => VCC,
        cin => \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[4]~5\,
        combout => \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[5]~6_combout\,
        cout => \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[5]~7\);

\u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[6]~8\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[6]~8_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[5]~7\ & (\u6|Div0|auto_generated|divider|divider|StageOut[101]~122_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|StageOut[101]~550_combout\) # !\u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[5]~7\ & ((\u6|Div0|auto_generated|divider|divider|StageOut[101]~122_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|StageOut[101]~550_combout\))
-- \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[6]~9\ = CARRY(!\u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[5]~7\ & (\u6|Div0|auto_generated|divider|divider|StageOut[101]~122_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|StageOut[101]~550_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1110000100001110",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[101]~122_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|StageOut[101]~550_combout\,
        datad => VCC,
        cin => \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[5]~7\,
        combout => \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[6]~8_combout\,
        cout => \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[6]~9\);

\u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[4]~4\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[4]~4_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[3]~3\ & ((\u6|Div0|auto_generated|divider|divider|StageOut[115]~92_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|StageOut[115]~560_combout\)) # !\u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[3]~3\ & (\u6|Div0|auto_generated|divider|divider|StageOut[115]~92_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|StageOut[115]~560_combout\ # GND)
-- \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[4]~5\ = CARRY(\u6|Div0|auto_generated|divider|divider|StageOut[115]~92_combout\ # \u6|Div0|auto_generated|divider|divider|StageOut[115]~560_combout\ # 
-- !\u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[3]~3\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0001111011101111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[115]~92_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|StageOut[115]~560_combout\,
        datad => VCC,
        cin => \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[3]~3\,
        combout => \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[4]~4_combout\,
        cout => \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[4]~5\);

\u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[2]~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[2]~0_combout\ = (\u6|Div0|auto_generated|divider|divider|StageOut[129]~62_combout\ # \u6|Div0|auto_generated|divider|divider|StageOut[129]~54_combout\)
-- \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[2]~1\ = CARRY(\u6|Div0|auto_generated|divider|divider|StageOut[129]~62_combout\ # \u6|Div0|auto_generated|divider|divider|StageOut[129]~54_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0001000111101110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[129]~62_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|StageOut[129]~54_combout\,
        datad => VCC,
        combout => \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[2]~0_combout\,
        cout => \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[2]~1\);

\u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[3]~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[3]~2_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[2]~1\ & (\u6|Div0|auto_generated|divider|divider|StageOut[130]~61_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|StageOut[130]~590_combout\) # !\u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[2]~1\ & !\u6|Div0|auto_generated|divider|divider|StageOut[130]~61_combout\ & 
-- !\u6|Div0|auto_generated|divider|divider|StageOut[130]~590_combout\
-- \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[3]~3\ = CARRY(!\u6|Div0|auto_generated|divider|divider|StageOut[130]~61_combout\ & !\u6|Div0|auto_generated|divider|divider|StageOut[130]~590_combout\ & 
-- !\u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[2]~1\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1110000100000001",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[130]~61_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|StageOut[130]~590_combout\,
        datad => VCC,
        cin => \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[2]~1\,
        combout => \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[3]~2_combout\,
        cout => \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[3]~3\);

\u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[6]~8\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[6]~8_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[5]~7\ & (\u6|Div0|auto_generated|divider|divider|StageOut[133]~58_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|StageOut[133]~566_combout\) # !\u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[5]~7\ & ((\u6|Div0|auto_generated|divider|divider|StageOut[133]~58_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|StageOut[133]~566_combout\))
-- \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[6]~9\ = CARRY(!\u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[5]~7\ & (\u6|Div0|auto_generated|divider|divider|StageOut[133]~58_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|StageOut[133]~566_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1110000100001110",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[133]~58_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|StageOut[133]~566_combout\,
        datad => VCC,
        cin => \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[5]~7\,
        combout => \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[6]~8_combout\,
        cout => \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[6]~9\);

\u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[1]~14\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[1]~14_combout\ = \u6|Div0|auto_generated|divider|divider|StageOut[128]~55_combout\ # \u6|Div0|auto_generated|divider|divider|StageOut[128]~63_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|StageOut[128]~55_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|StageOut[128]~63_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[1]~14_combout\);

\u6|Div0|auto_generated|divider|divider|op_9~21\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|op_9~21_combout\ = (\u6|Div0|auto_generated|divider|divider|StageOut[137]~38_combout\ # \u6|Div0|auto_generated|divider|divider|StageOut[137]~46_combout\)
-- \u6|Div0|auto_generated|divider|divider|op_9~22\ = CARRY(\u6|Div0|auto_generated|divider|divider|StageOut[137]~38_combout\ # \u6|Div0|auto_generated|divider|divider|StageOut[137]~46_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0001000111101110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[137]~38_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|StageOut[137]~46_combout\,
        datad => VCC,
        combout => \u6|Div0|auto_generated|divider|divider|op_9~21_combout\,
        cout => \u6|Div0|auto_generated|divider|divider|op_9~22\);

\u6|Div0|auto_generated|divider|divider|op_9~23\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|op_9~23_combout\ = \u6|Div0|auto_generated|divider|divider|op_9~22\ & (\u6|Div0|auto_generated|divider|divider|StageOut[138]~45_combout\ # \u6|Div0|auto_generated|divider|divider|StageOut[138]~591_combout\) # 
-- !\u6|Div0|auto_generated|divider|divider|op_9~22\ & !\u6|Div0|auto_generated|divider|divider|StageOut[138]~45_combout\ & !\u6|Div0|auto_generated|divider|divider|StageOut[138]~591_combout\
-- \u6|Div0|auto_generated|divider|divider|op_9~24\ = CARRY(!\u6|Div0|auto_generated|divider|divider|StageOut[138]~45_combout\ & !\u6|Div0|auto_generated|divider|divider|StageOut[138]~591_combout\ & !\u6|Div0|auto_generated|divider|divider|op_9~22\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1110000100000001",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[138]~45_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|StageOut[138]~591_combout\,
        datad => VCC,
        cin => \u6|Div0|auto_generated|divider|divider|op_9~22\,
        combout => \u6|Div0|auto_generated|divider|divider|op_9~23_combout\,
        cout => \u6|Div0|auto_generated|divider|divider|op_9~24\);

\u6|Div0|auto_generated|divider|divider|op_9~25\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|op_9~25_combout\ = \u6|Div0|auto_generated|divider|divider|op_9~24\ & ((\u6|Div0|auto_generated|divider|divider|StageOut[139]~44_combout\ # \u6|Div0|auto_generated|divider|divider|StageOut[139]~572_combout\)) # 
-- !\u6|Div0|auto_generated|divider|divider|op_9~24\ & (\u6|Div0|auto_generated|divider|divider|StageOut[139]~44_combout\ # \u6|Div0|auto_generated|divider|divider|StageOut[139]~572_combout\ # GND)
-- \u6|Div0|auto_generated|divider|divider|op_9~26\ = CARRY(\u6|Div0|auto_generated|divider|divider|StageOut[139]~44_combout\ # \u6|Div0|auto_generated|divider|divider|StageOut[139]~572_combout\ # !\u6|Div0|auto_generated|divider|divider|op_9~24\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0001111011101111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[139]~44_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|StageOut[139]~572_combout\,
        datad => VCC,
        cin => \u6|Div0|auto_generated|divider|divider|op_9~24\,
        combout => \u6|Div0|auto_generated|divider|divider|op_9~25_combout\,
        cout => \u6|Div0|auto_generated|divider|divider|op_9~26\);

\u6|Div0|auto_generated|divider|divider|op_9~27\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|op_9~27_combout\ = \u6|Div0|auto_generated|divider|divider|StageOut[140]~571_combout\ & (!\u6|Div0|auto_generated|divider|divider|op_9~26\) # !\u6|Div0|auto_generated|divider|divider|StageOut[140]~571_combout\ & 
-- (\u6|Div0|auto_generated|divider|divider|StageOut[140]~43_combout\ & !\u6|Div0|auto_generated|divider|divider|op_9~26\ # !\u6|Div0|auto_generated|divider|divider|StageOut[140]~43_combout\ & (\u6|Div0|auto_generated|divider|divider|op_9~26\ # GND))
-- \u6|Div0|auto_generated|divider|divider|op_9~28\ = CARRY(!\u6|Div0|auto_generated|divider|divider|StageOut[140]~571_combout\ & !\u6|Div0|auto_generated|divider|divider|StageOut[140]~43_combout\ # !\u6|Div0|auto_generated|divider|divider|op_9~26\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0001111000011111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[140]~571_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|StageOut[140]~43_combout\,
        datad => VCC,
        cin => \u6|Div0|auto_generated|divider|divider|op_9~26\,
        combout => \u6|Div0|auto_generated|divider|divider|op_9~27_combout\,
        cout => \u6|Div0|auto_generated|divider|divider|op_9~28\);

\u6|Div0|auto_generated|divider|divider|op_9~29\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|op_9~29_combout\ = \u6|Div0|auto_generated|divider|divider|op_9~28\ & (\u6|Div0|auto_generated|divider|divider|StageOut[141]~570_combout\ # \u6|Div0|auto_generated|divider|divider|StageOut[141]~42_combout\) # 
-- !\u6|Div0|auto_generated|divider|divider|op_9~28\ & ((\u6|Div0|auto_generated|divider|divider|StageOut[141]~570_combout\ # \u6|Div0|auto_generated|divider|divider|StageOut[141]~42_combout\))
-- \u6|Div0|auto_generated|divider|divider|op_9~30\ = CARRY(!\u6|Div0|auto_generated|divider|divider|op_9~28\ & (\u6|Div0|auto_generated|divider|divider|StageOut[141]~570_combout\ # \u6|Div0|auto_generated|divider|divider|StageOut[141]~42_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1110000100001110",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[141]~570_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|StageOut[141]~42_combout\,
        datad => VCC,
        cin => \u6|Div0|auto_generated|divider|divider|op_9~28\,
        combout => \u6|Div0|auto_generated|divider|divider|op_9~29_combout\,
        cout => \u6|Div0|auto_generated|divider|divider|op_9~30\);

\u6|Div0|auto_generated|divider|divider|op_9~35\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|op_9~35_combout\ = \u6|Div0|auto_generated|divider|divider|StageOut[136]~47_combout\ # \u6|Div0|auto_generated|divider|divider|StageOut[136]~39_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|StageOut[136]~47_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|StageOut[136]~39_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|op_9~35_combout\);

\u6|Div0|auto_generated|divider|divider|add_sub_19_result_int[2]~1\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_19_result_int[2]~1_cout\ = CARRY(\u6|Div0|auto_generated|divider|divider|StageOut[145]~22_combout\ # \u6|Div0|auto_generated|divider|divider|StageOut[145]~30_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011101110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[145]~22_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|StageOut[145]~30_combout\,
        datad => VCC,
        cout => \u6|Div0|auto_generated|divider|divider|add_sub_19_result_int[2]~1_cout\);

\u6|Div0|auto_generated|divider|divider|add_sub_19_result_int[3]~3\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_19_result_int[3]~3_cout\ = CARRY(!\u6|Div0|auto_generated|divider|divider|StageOut[146]~29_combout\ & !\u6|Div0|auto_generated|divider|divider|StageOut[146]~592_combout\ & 
-- !\u6|Div0|auto_generated|divider|divider|add_sub_19_result_int[2]~1_cout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000000000001",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[146]~29_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|StageOut[146]~592_combout\,
        datad => VCC,
        cin => \u6|Div0|auto_generated|divider|divider|add_sub_19_result_int[2]~1_cout\,
        cout => \u6|Div0|auto_generated|divider|divider|add_sub_19_result_int[3]~3_cout\);

\u6|Div0|auto_generated|divider|divider|add_sub_19_result_int[4]~5\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_19_result_int[4]~5_cout\ = CARRY(\u6|Div0|auto_generated|divider|divider|StageOut[147]~28_combout\ # \u6|Div0|auto_generated|divider|divider|StageOut[147]~576_combout\ # 
-- !\u6|Div0|auto_generated|divider|divider|add_sub_19_result_int[3]~3_cout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011101111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[147]~28_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|StageOut[147]~576_combout\,
        datad => VCC,
        cin => \u6|Div0|auto_generated|divider|divider|add_sub_19_result_int[3]~3_cout\,
        cout => \u6|Div0|auto_generated|divider|divider|add_sub_19_result_int[4]~5_cout\);

\u6|Div0|auto_generated|divider|divider|add_sub_19_result_int[5]~7\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_19_result_int[5]~7_cout\ = CARRY(!\u6|Div0|auto_generated|divider|divider|StageOut[148]~27_combout\ & !\u6|Div0|auto_generated|divider|divider|StageOut[148]~575_combout\ # 
-- !\u6|Div0|auto_generated|divider|divider|add_sub_19_result_int[4]~5_cout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000000011111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[148]~27_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|StageOut[148]~575_combout\,
        datad => VCC,
        cin => \u6|Div0|auto_generated|divider|divider|add_sub_19_result_int[4]~5_cout\,
        cout => \u6|Div0|auto_generated|divider|divider|add_sub_19_result_int[5]~7_cout\);

\u6|Div0|auto_generated|divider|divider|add_sub_19_result_int[6]~9\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_19_result_int[6]~9_cout\ = CARRY(!\u6|Div0|auto_generated|divider|divider|add_sub_19_result_int[5]~7_cout\ & (\u6|Div0|auto_generated|divider|divider|StageOut[149]~26_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|StageOut[149]~574_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000000001110",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[149]~26_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|StageOut[149]~574_combout\,
        datad => VCC,
        cin => \u6|Div0|auto_generated|divider|divider|add_sub_19_result_int[5]~7_cout\,
        cout => \u6|Div0|auto_generated|divider|divider|add_sub_19_result_int[6]~9_cout\);

\u6|Div0|auto_generated|divider|divider|add_sub_19_result_int[7]~11\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_19_result_int[7]~11_cout\ = CARRY(!\u6|Div0|auto_generated|divider|divider|StageOut[150]~25_combout\ & !\u6|Div0|auto_generated|divider|divider|StageOut[150]~573_combout\ & 
-- !\u6|Div0|auto_generated|divider|divider|add_sub_19_result_int[6]~9_cout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000000000001",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[150]~25_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|StageOut[150]~573_combout\,
        datad => VCC,
        cin => \u6|Div0|auto_generated|divider|divider|add_sub_19_result_int[6]~9_cout\,
        cout => \u6|Div0|auto_generated|divider|divider|add_sub_19_result_int[7]~11_cout\);

\u6|Div0|auto_generated|divider|divider|add_sub_19_result_int[8]~12\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_19_result_int[8]~12_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_19_result_int[7]~11_cout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000011110000",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        cin => \u6|Div0|auto_generated|divider|divider|add_sub_19_result_int[7]~11_cout\,
        combout => \u6|Div0|auto_generated|divider|divider|add_sub_19_result_int[8]~12_combout\);

\u6|ADPCM_Decoder_Step_Size_Table_Pointer[0]~_Duplicate_2\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[0]~40_combout\,
        ena => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[9]~45_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[0]~_Duplicate_2_regout\);

\u6|ADPCM_Decoder_Step_Size_Table_Pointer[1]~_Duplicate_2\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[1]~46_combout\,
        ena => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[9]~45_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[1]~_Duplicate_2_regout\);

\u6|ADPCM_Decoder_Step_Size_Table_Pointer[2]~_Duplicate_2\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[2]~48_combout\,
        ena => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[9]~45_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[2]~_Duplicate_2_regout\);

\u6|ADPCM_Decoder_Step_Size_Table_Pointer[4]~_Duplicate_2\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[4]~52_combout\,
        ena => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[9]~45_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[4]~_Duplicate_2_regout\);

\u6|ADPCM_Decoder_Step_Size_Table_Pointer[5]~_Duplicate_2\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[5]~54_combout\,
        ena => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[9]~45_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[5]~_Duplicate_2_regout\);

\u5|PCM_DATA_OUT[10]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u5|LessThan7~2_combout\,
        ena => \u5|PCM_DATA_OUT[15]~5_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u5|PCM_DATA_OUT\(10));

\Red_LEDs_Bar~27\ : cycloneii_lcell_comb
-- Equation(s):
-- \Red_LEDs_Bar~27_combout\ = !\u5|PCM_DATA_OUT\(10) & !\u5|PCM_DATA_OUT\(9) & !\u5|PCM_DATA_OUT\(8) & !\u5|PCM_DATA_OUT\(11)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000000000001",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|PCM_DATA_OUT\(10),
        datab => \u5|PCM_DATA_OUT\(9),
        datac => \u5|PCM_DATA_OUT\(8),
        datad => \u5|PCM_DATA_OUT\(11),
        combout => \Red_LEDs_Bar~27_combout\);

\Red_LEDs_Bar~28\ : cycloneii_lcell_comb
-- Equation(s):
-- \Red_LEDs_Bar~28_combout\ = \u5|PCM_DATA_OUT\(12) & !\LessThan0~0_combout\ & (!\u5|PCM_DATA_OUT\(13) # !\Red_LEDs_Bar~27_combout\) # !\u5|PCM_DATA_OUT\(12) & (\u5|PCM_DATA_OUT\(13) & \Red_LEDs_Bar~27_combout\ # !\u5|PCM_DATA_OUT\(13) & 
-- (\LessThan0~0_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0010011000111100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \Red_LEDs_Bar~27_combout\,
        datab => \u5|PCM_DATA_OUT\(12),
        datac => \LessThan0~0_combout\,
        datad => \u5|PCM_DATA_OUT\(13),
        combout => \Red_LEDs_Bar~28_combout\);

\u3|I2S_PCM_DATA_ACCESS_OUT\ : cycloneii_lcell_ff
PORT MAP (
        clk => \u3|ALT_INV_I2S_Clock~regout\,
        datain => \u3|Equal3~0_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u3|I2S_PCM_DATA_ACCESS_OUT~regout\);

\u2|Mux0~41\ : cycloneii_lcell_comb
-- Equation(s):
-- \u2|Mux0~41_combout\ = \u2|I2C_Stream_Counter\(1) & (\u2|I2C_Stream_Counter\(2) # !\u2|I2C_Stream_Counter\(4) & \u2|I2C_Stream_Counter\(0)) # !\u2|I2C_Stream_Counter\(1) & (\u2|I2C_Stream_Counter\(2) $ \u2|I2C_Stream_Counter\(0))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100011111110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u2|I2C_Stream_Counter\(4),
        datab => \u2|I2C_Stream_Counter\(1),
        datac => \u2|I2C_Stream_Counter\(2),
        datad => \u2|I2C_Stream_Counter\(0),
        combout => \u2|Mux0~41_combout\);

\u3|I2S_LEFT_RIGHT_CLOCK_OUT~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u3|I2S_LEFT_RIGHT_CLOCK_OUT~2_combout\ = \u3|I2S_Stream_Counter\(4) & !\u3|I2S_Stream_Counter\(3) & \u3|I2S_Stream_Counter\(1)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0010000000100000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u3|I2S_Stream_Counter\(4),
        datab => \u3|I2S_Stream_Counter\(3),
        datac => \u3|I2S_Stream_Counter\(1),
        combout => \u3|I2S_LEFT_RIGHT_CLOCK_OUT~2_combout\);

\u3|Equal0~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u3|Equal0~0_combout\ = !\u3|Counter\(18) & !\u3|Counter\(16) & !\u3|Counter\(19) & !\u3|Counter\(17)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000000000001",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u3|Counter\(18),
        datab => \u3|Counter\(16),
        datac => \u3|Counter\(19),
        datad => \u3|Counter\(17),
        combout => \u3|Equal0~0_combout\);

\u3|Equal0~1\ : cycloneii_lcell_comb
-- Equation(s):
-- \u3|Equal0~1_combout\ = !\u3|Counter\(20) & !\u3|Counter\(21) & !\u3|Counter\(22) & !\u3|Counter\(23)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000000000001",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u3|Counter\(20),
        datab => \u3|Counter\(21),
        datac => \u3|Counter\(22),
        datad => \u3|Counter\(23),
        combout => \u3|Equal0~1_combout\);

\u3|Equal0~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u3|Equal0~2_combout\ = !\u3|Counter\(6) & !\u3|Counter\(7) & !\u3|Counter\(24) & !\u3|Counter\(10)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000000000001",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u3|Counter\(6),
        datab => \u3|Counter\(7),
        datac => \u3|Counter\(24),
        datad => \u3|Counter\(10),
        combout => \u3|Equal0~2_combout\);

\u3|Equal0~3\ : cycloneii_lcell_comb
-- Equation(s):
-- \u3|Equal0~3_combout\ = !\u3|Counter\(5) & !\u3|Counter\(11) & !\u3|Counter\(8) & !\u3|Counter\(12)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000000000001",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u3|Counter\(5),
        datab => \u3|Counter\(11),
        datac => \u3|Counter\(8),
        datad => \u3|Counter\(12),
        combout => \u3|Equal0~3_combout\);

\u3|Equal0~4\ : cycloneii_lcell_comb
-- Equation(s):
-- \u3|Equal0~4_combout\ = \u3|Equal0~3_combout\ & \u3|Equal0~2_combout\ & \u3|Equal0~0_combout\ & \u3|Equal0~1_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1000000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u3|Equal0~3_combout\,
        datab => \u3|Equal0~2_combout\,
        datac => \u3|Equal0~0_combout\,
        datad => \u3|Equal0~1_combout\,
        combout => \u3|Equal0~4_combout\);

\u3|Equal0~5\ : cycloneii_lcell_comb
-- Equation(s):
-- \u3|Equal0~5_combout\ = !\u3|Counter\(14) & !\u3|Counter\(4) & !\u3|Counter\(15) & !\u3|Counter\(13)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000000000001",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u3|Counter\(14),
        datab => \u3|Counter\(4),
        datac => \u3|Counter\(15),
        datad => \u3|Counter\(13),
        combout => \u3|Equal0~5_combout\);

\u3|Equal0~6\ : cycloneii_lcell_comb
-- Equation(s):
-- \u3|Equal0~6_combout\ = \u3|Counter\(1) & \u3|Counter\(0) & !\u3|Counter\(3) & \u3|Counter\(2)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000100000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u3|Counter\(1),
        datab => \u3|Counter\(0),
        datac => \u3|Counter\(3),
        datad => \u3|Counter\(2),
        combout => \u3|Equal0~6_combout\);

\u3|Equal0~7\ : cycloneii_lcell_comb
-- Equation(s):
-- \u3|Equal0~7_combout\ = \u3|Equal0~5_combout\ & !\u3|Counter\(9) & \u3|Equal0~6_combout\ & \u3|Equal0~4_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0010000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u3|Equal0~5_combout\,
        datab => \u3|Counter\(9),
        datac => \u3|Equal0~6_combout\,
        datad => \u3|Equal0~4_combout\,
        combout => \u3|Equal0~7_combout\);

\u5|Add7~1\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add7~1_combout\ = \u5|PCM_Data\(12) $ (\u5|PCM_Data\(13) & !\u5|LessThan2~4_combout\ & !\u5|PCM_Data~136_combout\ # !\u5|PCM_Data\(13) & (\u5|PCM_Data~136_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1001100110100110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|PCM_Data\(12),
        datab => \u5|PCM_Data\(13),
        datac => \u5|LessThan2~4_combout\,
        datad => \u5|PCM_Data~136_combout\,
        combout => \u5|Add7~1_combout\);

\u5|LessThan7~1\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|LessThan7~1_combout\ = \u5|PCM_Data\(10) & (\u5|PCM_Data\(9) # \u5|PCM_Data\(8) # !\u5|LessThan2~2_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000010110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|PCM_Data\(9),
        datab => \u5|LessThan2~2_combout\,
        datac => \u5|PCM_Data\(10),
        datad => \u5|PCM_Data\(8),
        combout => \u5|LessThan7~1_combout\);

\u5|PCM_Data~138\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|PCM_Data~138_combout\ = \u5|LessThan7~2_combout\ & \u5|Add9~0_combout\ # !\u5|LessThan7~2_combout\ & (\u5|PCM_Data\(10))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010101011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Add9~0_combout\,
        datac => \u5|PCM_Data\(10),
        datad => \u5|LessThan7~2_combout\,
        combout => \u5|PCM_Data~138_combout\);

\u5|Add8~14\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add8~14_combout\ = \u5|LessThan6~0_combout\ & \u5|Add8~7_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100110000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u5|LessThan6~0_combout\,
        datad => \u5|Add8~7_combout\,
        combout => \u5|Add8~14_combout\);

\u5|Add10~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add10~2_combout\ = \u5|PCM_Data\(9) & (\u5|Add10~0_combout\ # !\u5|PCM_Data\(8))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111001100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u5|PCM_Data\(8),
        datac => \u5|Add10~0_combout\,
        datad => \u5|PCM_Data\(9),
        combout => \u5|Add10~2_combout\);

\u5|Add10~16\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add10~16_combout\ = \u5|Add10~10_combout\ & (\u5|LessThan8~1_combout\ # \u5|PCM_Data\(9) & \u5|Add10~14_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111100000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|PCM_Data\(9),
        datab => \u5|Add10~14_combout\,
        datac => \u5|LessThan8~1_combout\,
        datad => \u5|Add10~10_combout\,
        combout => \u5|Add10~16_combout\);

\u2|Equal0~1\ : cycloneii_lcell_comb
-- Equation(s):
-- \u2|Equal0~1_combout\ = !\u2|Counter\(18) & !\u2|Counter\(17) & !\u2|Counter\(16) & !\u2|Counter\(15)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000000000001",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u2|Counter\(18),
        datab => \u2|Counter\(17),
        datac => \u2|Counter\(16),
        datad => \u2|Counter\(15),
        combout => \u2|Equal0~1_combout\);

\u4|Equal0~1\ : cycloneii_lcell_comb
-- Equation(s):
-- \u4|Equal0~1_combout\ = !\u4|Counter\(4) & !\u4|Counter\(7) & !\u4|Counter\(3) & !\u4|Counter\(12)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000000000001",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u4|Counter\(4),
        datab => \u4|Counter\(7),
        datac => \u4|Counter\(3),
        datad => \u4|Counter\(12),
        combout => \u4|Equal0~1_combout\);

\u5|PCM_Data~140\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|PCM_Data~140_combout\ = \u5|LessThan9~2_combout\ & (\u5|Add11~0_combout\) # !\u5|LessThan9~2_combout\ & \u5|PCM_Data\(8)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100110010101010",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|PCM_Data\(8),
        datab => \u5|Add11~0_combout\,
        datad => \u5|LessThan9~2_combout\,
        combout => \u5|PCM_Data~140_combout\);

\u5|Add10~21\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add10~21_combout\ = \u5|Add10~4_combout\ & \u5|LessThan8~2_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Add10~4_combout\,
        datad => \u5|LessThan8~2_combout\,
        combout => \u5|Add10~21_combout\);

\u5|LessThan10~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|LessThan10~0_combout\ = \u5|PCM_Data\(7) & (\u5|PCM_Data\(6) # \u5|LessThan2~7_combout\ # \u5|PCM_Data\(5))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010101010101000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|PCM_Data\(7),
        datab => \u5|PCM_Data\(6),
        datac => \u5|LessThan2~7_combout\,
        datad => \u5|PCM_Data\(5),
        combout => \u5|LessThan10~0_combout\);

\u5|Add12~24\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add12~24_combout\ = \u5|Add12~22_combout\ & \u5|LessThan10~3_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Add12~22_combout\,
        datad => \u5|LessThan10~3_combout\,
        combout => \u5|Add12~24_combout\);

\u5|PCM_Data~146\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|PCM_Data~146_combout\ = \u5|PCM_Data\(6) & (\u5|PCM_Data\(5) # \u5|PCM_Data\(4) # !\u5|LessThan2~0_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111101100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|PCM_Data\(5),
        datab => \u5|LessThan2~0_combout\,
        datac => \u5|PCM_Data\(4),
        datad => \u5|PCM_Data\(6),
        combout => \u5|PCM_Data~146_combout\);

\u5|LessThan12~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|LessThan12~0_combout\ = \u5|Add13~18_combout\ # \u5|Add13~16_combout\ # \u5|Add13~12_combout\ # \u5|Add13~14_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111111110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Add13~18_combout\,
        datab => \u5|Add13~16_combout\,
        datac => \u5|Add13~12_combout\,
        datad => \u5|Add13~14_combout\,
        combout => \u5|LessThan12~0_combout\);

\u5|LessThan12~1\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|LessThan12~1_combout\ = \u5|PCM_Data~148_combout\ # \u5|PCM_Data~147_combout\ & (\u5|LessThan12~0_combout\ # \u5|Add13~4_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111011001100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|LessThan12~0_combout\,
        datab => \u5|PCM_Data~148_combout\,
        datac => \u5|Add13~4_combout\,
        datad => \u5|PCM_Data~147_combout\,
        combout => \u5|LessThan12~1_combout\);

\u5|PCM_Data~152\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|PCM_Data~152_combout\ = \u5|Add13~8_combout\ & \u5|PCM_Data~147_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Add13~8_combout\,
        datad => \u5|PCM_Data~147_combout\,
        combout => \u5|PCM_Data~152_combout\);

\u5|Add14~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add14~2_combout\ = \u5|LessThan12~3_combout\ & (\u5|Add14~0_combout\) # !\u5|LessThan12~3_combout\ & \u5|PCM_Data\(5)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000011001100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u5|PCM_Data\(5),
        datac => \u5|Add14~0_combout\,
        datad => \u5|LessThan12~3_combout\,
        combout => \u5|Add14~2_combout\);

\u5|PCM_Data~158\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|PCM_Data~158_combout\ = \u5|PCM_Data~157_combout\ & (\u5|Add15~0_combout\) # !\u5|PCM_Data~157_combout\ & \u5|PCM_Data\(4)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111110000001100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u5|PCM_Data\(4),
        datac => \u5|PCM_Data~157_combout\,
        datad => \u5|Add15~0_combout\,
        combout => \u5|PCM_Data~158_combout\);

\u5|LessThan2~8\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|LessThan2~8_combout\ = !\u5|PCM_Data\(2) & !\u5|PCM_Data\(0) & !\u5|PCM_Data\(1)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000000000011",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u5|PCM_Data\(2),
        datac => \u5|PCM_Data\(0),
        datad => \u5|PCM_Data\(1),
        combout => \u5|LessThan2~8_combout\);

\u5|Add16~29\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add16~29_combout\ = \u5|LessThan14~4_combout\ & \u5|Add16~9_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|LessThan14~4_combout\,
        datad => \u5|Add16~9_combout\,
        combout => \u5|Add16~29_combout\);

\u5|Add16~30\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add16~30_combout\ = \u5|LessThan14~4_combout\ & \u5|Add16~5_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|LessThan14~4_combout\,
        datad => \u5|Add16~5_combout\,
        combout => \u5|Add16~30_combout\);

\u5|PCM_Data~167\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|PCM_Data~167_combout\ = \u5|Add16~29_combout\ # \u5|Add16~30_combout\ # \u5|Add16~27_combout\ # \u5|Add16~28_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111111110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Add16~29_combout\,
        datab => \u5|Add16~30_combout\,
        datac => \u5|Add16~27_combout\,
        datad => \u5|Add16~28_combout\,
        combout => \u5|PCM_Data~167_combout\);

\u5|Add16~31\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add16~31_combout\ = \u5|LessThan14~4_combout\ & \u5|Add16~21_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|LessThan14~4_combout\,
        datad => \u5|Add16~21_combout\,
        combout => \u5|Add16~31_combout\);

\u5|PCM_Data~169\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|PCM_Data~169_combout\ = \u5|PCM_Data\(2) & (\u5|PCM_Data\(0) # \u5|PCM_Data\(1))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100110011000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u5|PCM_Data\(2),
        datac => \u5|PCM_Data\(0),
        datad => \u5|PCM_Data\(1),
        combout => \u5|PCM_Data~169_combout\);

\u5|Add16~36\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add16~36_combout\ = \u5|LessThan14~4_combout\ & \u5|Add16~11_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|LessThan14~4_combout\,
        datad => \u5|Add16~11_combout\,
        combout => \u5|Add16~36_combout\);

\u5|Add16~37\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add16~37_combout\ = \u5|Add16~3_combout\ & \u5|LessThan14~4_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Add16~3_combout\,
        datad => \u5|LessThan14~4_combout\,
        combout => \u5|Add16~37_combout\);

\u5|PCM_Data~176\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|PCM_Data~176_combout\ = \u5|PCM_Data~171_combout\ & \u5|Add17~6_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100000011000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u5|PCM_Data~171_combout\,
        datac => \u5|Add17~6_combout\,
        combout => \u5|PCM_Data~176_combout\);

\u5|PCM_Data~181\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|PCM_Data~181_combout\ = \u5|PCM_Data~171_combout\ & \u5|Add17~8_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100000011000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u5|PCM_Data~171_combout\,
        datac => \u5|Add17~8_combout\,
        combout => \u5|PCM_Data~181_combout\);

\u5|Add18~43\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add18~43_combout\ = \u5|Add18~18_combout\ & \u5|LessThan16~5_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Add18~18_combout\,
        datad => \u5|LessThan16~5_combout\,
        combout => \u5|Add18~43_combout\);

\u5|Mux45~3\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Mux45~3_combout\ = \u5|Add18~40_combout\ # \u5|Add18~42_combout\ # \u5|Add18~43_combout\ # \u5|Add18~41_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111111110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Add18~40_combout\,
        datab => \u5|Add18~42_combout\,
        datac => \u5|Add18~43_combout\,
        datad => \u5|Add18~41_combout\,
        combout => \u5|Mux45~3_combout\);

\u5|Add18~45\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add18~45_combout\ = \u5|LessThan16~5_combout\ & \u5|Add18~22_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|LessThan16~5_combout\,
        datad => \u5|Add18~22_combout\,
        combout => \u5|Add18~45_combout\);

\u5|Mux53~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Mux53~0_combout\ = \u5|Mux45~4_combout\ & \u5|Add19~14_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100110000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u5|Mux45~4_combout\,
        datad => \u5|Add19~14_combout\,
        combout => \u5|Mux53~0_combout\);

\u5|PCM_Data[0]~183\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|PCM_Data[0]~183_combout\ = \u6|ADPCM_Decoder_State_Counter\(1) & \u5|process_0~0_combout\ & \u6|ADPCM_Decoder_State_Counter\(0) & !\u6|ADPCM_Decoder_State_Counter\(2)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000010000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|ADPCM_Decoder_State_Counter\(1),
        datab => \u5|process_0~0_combout\,
        datac => \u6|ADPCM_Decoder_State_Counter\(0),
        datad => \u6|ADPCM_Decoder_State_Counter\(2),
        combout => \u5|PCM_Data[0]~183_combout\);

\u5|PCM_Data[0]~185\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|PCM_Data[0]~185_combout\ = \u5|Add19~0_combout\ & !\u5|Mux60~0_combout\ & \u5|PCM_Data[15]~182_combout\ & \u6|ADPCM_Decoder_State_Counter\(2)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0010000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Add19~0_combout\,
        datab => \u5|Mux60~0_combout\,
        datac => \u5|PCM_Data[15]~182_combout\,
        datad => \u6|ADPCM_Decoder_State_Counter\(2),
        combout => \u5|PCM_Data[0]~185_combout\);

\Equal1~1\ : cycloneii_lcell_comb
-- Equation(s):
-- \Equal1~1_combout\ = Counter(5) & Counter(10) & !Counter(17) & !Counter(6)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000000001000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => Counter(5),
        datab => Counter(10),
        datac => Counter(17),
        datad => Counter(6),
        combout => \Equal1~1_combout\);

\I2C_REGISTER_ADDRESS[0]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \I2C_Register_Address_Stream~11_combout\,
        ena => \Equal1~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => I2C_REGISTER_ADDRESS(0));

\u2|Mux1~46\ : cycloneii_lcell_comb
-- Equation(s):
-- \u2|Mux1~46_combout\ = \u2|I2C_Stream_Counter\(1) & (\u2|I2C_Stream_Counter\(2) # !\u2|I2C_Stream_Counter\(4)) # !\u2|I2C_Stream_Counter\(1) & (\u2|I2C_Stream_Counter\(0) # \u2|I2C_Stream_Counter\(4) $ \u2|I2C_Stream_Counter\(2))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111011111010110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u2|I2C_Stream_Counter\(4),
        datab => \u2|I2C_Stream_Counter\(1),
        datac => \u2|I2C_Stream_Counter\(2),
        datad => \u2|I2C_Stream_Counter\(0),
        combout => \u2|Mux1~46_combout\);

\I2C_REGISTER_DATA[7]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \Mux2~0_combout\,
        ena => \Equal1~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => I2C_REGISTER_DATA(7));

\u2|Mux1~48\ : cycloneii_lcell_comb
-- Equation(s):
-- \u2|Mux1~48_combout\ = I2C_REGISTER_DATA(7) # !\u2|I2C_Stream_Counter\(0) & !\u2|I2C_Stream_Counter\(1)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000011110101",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u2|I2C_Stream_Counter\(0),
        datac => I2C_REGISTER_DATA(7),
        datad => \u2|I2C_Stream_Counter\(1),
        combout => \u2|Mux1~48_combout\);

\I2C_REGISTER_ADDRESS[2]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \I2C_Register_Address_Stream~13_combout\,
        ena => \Equal1~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => I2C_REGISTER_ADDRESS(2));

\I2C_REGISTER_ADDRESS[3]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \I2C_Stream_Counter~9_combout\,
        ena => \Equal1~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => I2C_REGISTER_ADDRESS(3));

\u2|Mux1~49\ : cycloneii_lcell_comb
-- Equation(s):
-- \u2|Mux1~49_combout\ = \u2|I2C_Stream_Counter\(1) & (I2C_REGISTER_ADDRESS(2)) # !\u2|I2C_Stream_Counter\(1) & (\u2|I2C_Stream_Counter\(0) & (I2C_REGISTER_ADDRESS(2)) # !\u2|I2C_Stream_Counter\(0) & I2C_REGISTER_ADDRESS(3))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111000000010",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => I2C_REGISTER_ADDRESS(3),
        datab => \u2|I2C_Stream_Counter\(1),
        datac => \u2|I2C_Stream_Counter\(0),
        datad => I2C_REGISTER_ADDRESS(2),
        combout => \u2|Mux1~49_combout\);

\u2|Mux1~50\ : cycloneii_lcell_comb
-- Equation(s):
-- \u2|Mux1~50_combout\ = \u2|I2C_Stream_Counter\(1) & (I2C_REGISTER_ADDRESS(3)) # !\u2|I2C_Stream_Counter\(1) & !I2C_REGISTER_ADDRESS(4)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000001010101",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => I2C_REGISTER_ADDRESS(4),
        datac => I2C_REGISTER_ADDRESS(3),
        datad => \u2|I2C_Stream_Counter\(1),
        combout => \u2|Mux1~50_combout\);

\u2|Mux1~51\ : cycloneii_lcell_comb
-- Equation(s):
-- \u2|Mux1~51_combout\ = \u2|I2C_Stream_Counter\(2) & (\u2|I2C_Stream_Counter\(4) # \u2|Mux1~49_combout\) # !\u2|I2C_Stream_Counter\(2) & !\u2|I2C_Stream_Counter\(4) & \u2|Mux1~50_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1011101010011000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u2|I2C_Stream_Counter\(2),
        datab => \u2|I2C_Stream_Counter\(4),
        datac => \u2|Mux1~50_combout\,
        datad => \u2|Mux1~49_combout\,
        combout => \u2|Mux1~51_combout\);

\I2C_REGISTER_DATA[6]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \Mux3~0_combout\,
        ena => \Equal1~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => I2C_REGISTER_DATA(6));

\u2|Mux1~52\ : cycloneii_lcell_comb
-- Equation(s):
-- \u2|Mux1~52_combout\ = \u2|I2C_Stream_Counter\(1) & (\u2|I2C_Stream_Counter\(0) & I2C_REGISTER_DATA(5) # !\u2|I2C_Stream_Counter\(0) & (I2C_REGISTER_DATA(6))) # !\u2|I2C_Stream_Counter\(1) & (I2C_REGISTER_DATA(6))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1011111110000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => I2C_REGISTER_DATA(5),
        datab => \u2|I2C_Stream_Counter\(1),
        datac => \u2|I2C_Stream_Counter\(0),
        datad => I2C_REGISTER_DATA(6),
        combout => \u2|Mux1~52_combout\);

\u2|Mux1~53\ : cycloneii_lcell_comb
-- Equation(s):
-- \u2|Mux1~53_combout\ = \u2|Mux1~51_combout\ & (\u2|Mux1~52_combout\ # !\u2|I2C_Stream_Counter\(4)) # !\u2|Mux1~51_combout\ & \u2|I2C_Stream_Counter\(4) & (\u2|Mux1~48_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1110011010100010",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u2|Mux1~51_combout\,
        datab => \u2|I2C_Stream_Counter\(4),
        datac => \u2|Mux1~52_combout\,
        datad => \u2|Mux1~48_combout\,
        combout => \u2|Mux1~53_combout\);

\I2C_REGISTER_DATA[2]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \Mux7~0_combout\,
        ena => \Equal1~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => I2C_REGISTER_DATA(2));

\u2|Mux1~55\ : cycloneii_lcell_comb
-- Equation(s):
-- \u2|Mux1~55_combout\ = \u2|I2C_Stream_Counter\(1) & (\u2|I2C_Stream_Counter\(0) & (I2C_REGISTER_DATA(1)) # !\u2|I2C_Stream_Counter\(0) & I2C_REGISTER_DATA(2)) # !\u2|I2C_Stream_Counter\(1) & I2C_REGISTER_DATA(2)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1110101000101010",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => I2C_REGISTER_DATA(2),
        datab => \u2|I2C_Stream_Counter\(1),
        datac => \u2|I2C_Stream_Counter\(0),
        datad => I2C_REGISTER_DATA(1),
        combout => \u2|Mux1~55_combout\);

\u2|Mux1~61\ : cycloneii_lcell_comb
-- Equation(s):
-- \u2|Mux1~61_combout\ = \u2|I2C_Stream_Counter\(0) & (!\u2|I2C_Stream_Counter\(2)) # !\u2|I2C_Stream_Counter\(0) & (\u2|I2C_Stream_Counter\(1) # !I2C_REGISTER_DATA(0) & !\u2|I2C_Stream_Counter\(2))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0100010011101111",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u2|I2C_Stream_Counter\(0),
        datab => \u2|I2C_Stream_Counter\(1),
        datac => I2C_REGISTER_DATA(0),
        datad => \u2|I2C_Stream_Counter\(2),
        combout => \u2|Mux1~61_combout\);

\u6|PCM_DATA_OUT[1]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u6|LessThan16~5_combout\,
        ena => \u5|PCM_DATA_OUT[15]~5_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u6|PCM_DATA_OUT\(1));

\u6|PCM_DATA_OUT[0]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u6|LessThan17~4_combout\,
        ena => \u5|PCM_DATA_OUT[15]~5_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u6|PCM_DATA_OUT\(0));

\u5|PCM_DATA_OUT[6]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u5|PCM_Data~147_combout\,
        ena => \u5|PCM_DATA_OUT[15]~5_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u5|PCM_DATA_OUT\(6));

\u5|PCM_DATA_OUT[7]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u5|LessThan10~3_combout\,
        ena => \u5|PCM_DATA_OUT[15]~5_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u5|PCM_DATA_OUT\(7));

\u5|PCM_DATA_OUT[3]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u5|LessThan14~4_combout\,
        ena => \u5|PCM_DATA_OUT[15]~5_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u5|PCM_DATA_OUT\(3));

\u6|PCM_DATA_OUT[12]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u6|LessThan5~0_combout\,
        ena => \u5|PCM_DATA_OUT[15]~5_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u6|PCM_DATA_OUT\(12));

\u5|PCM_DATA_OUT[0]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u5|Mux45~4_combout\,
        ena => \u5|PCM_DATA_OUT[15]~5_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u5|PCM_DATA_OUT\(0));

\u3|Mux0~43\ : cycloneii_lcell_comb
-- Equation(s):
-- \u3|Mux0~43_combout\ = !\u3|I2S_Stream_Counter\(1) & !\u3|I2S_Stream_Counter\(0) & \u5|PCM_DATA_OUT\(0)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000010100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u3|I2S_Stream_Counter\(1),
        datac => \u3|I2S_Stream_Counter\(0),
        datad => \u5|PCM_DATA_OUT\(0),
        combout => \u3|Mux0~43_combout\);

\u6|PCM_DATA_OUT[5]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u6|LessThan12~3_combout\,
        ena => \u5|PCM_DATA_OUT[15]~5_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u6|PCM_DATA_OUT\(5));

\u6|PCM_DATA_OUT[6]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u6|PCM_Data~147_combout\,
        ena => \u5|PCM_DATA_OUT[15]~5_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u6|PCM_DATA_OUT\(6));

\u6|PCM_DATA_OUT[7]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u6|LessThan10~3_combout\,
        ena => \u5|PCM_DATA_OUT[15]~5_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u6|PCM_DATA_OUT\(7));

\u3|Mux0~45\ : cycloneii_lcell_comb
-- Equation(s):
-- \u3|Mux0~45_combout\ = \u3|I2S_Stream_Counter\(0) & (\u6|PCM_DATA_OUT\(6) # \u3|I2S_Stream_Counter\(1)) # !\u3|I2S_Stream_Counter\(0) & (\u6|PCM_DATA_OUT\(7) & !\u3|I2S_Stream_Counter\(1))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010101011011000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u3|I2S_Stream_Counter\(0),
        datab => \u6|PCM_DATA_OUT\(6),
        datac => \u6|PCM_DATA_OUT\(7),
        datad => \u3|I2S_Stream_Counter\(1),
        combout => \u3|Mux0~45_combout\);

\u6|PCM_DATA_OUT[4]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u6|LessThan13~3_combout\,
        ena => \u5|PCM_DATA_OUT[15]~5_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u6|PCM_DATA_OUT\(4));

\u3|Mux0~46\ : cycloneii_lcell_comb
-- Equation(s):
-- \u3|Mux0~46_combout\ = \u3|Mux0~45_combout\ & (\u6|PCM_DATA_OUT\(4) # !\u3|I2S_Stream_Counter\(1)) # !\u3|Mux0~45_combout\ & \u6|PCM_DATA_OUT\(5) & (\u3|I2S_Stream_Counter\(1))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1110001011001100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|PCM_DATA_OUT\(5),
        datab => \u3|Mux0~45_combout\,
        datac => \u6|PCM_DATA_OUT\(4),
        datad => \u3|I2S_Stream_Counter\(1),
        combout => \u3|Mux0~46_combout\);

\u5|PCM_Data_Difference[11]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u5|PCM_Data_Difference[11]~4_combout\,
        ena => \u5|PCM_Data_Difference[0]~1_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u5|PCM_Data_Difference\(11));

\u5|Add2~4\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add2~4_combout\ = \ADPCM_DECODER_DATA_LEFT~regout\ $ \u5|PCM_Data_Difference\(11)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111111110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \ADPCM_DECODER_DATA_LEFT~regout\,
        datad => \u5|PCM_Data_Difference\(11),
        combout => \u5|Add2~4_combout\);

\u5|PCM_Data_Difference[9]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u5|PCM_Data_Difference[9]~6_combout\,
        ena => \u5|PCM_Data_Difference[0]~1_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u5|PCM_Data_Difference\(9));

\u5|Add2~6\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add2~6_combout\ = \ADPCM_DECODER_DATA_LEFT~regout\ $ \u5|PCM_Data_Difference\(9)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111111110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \ADPCM_DECODER_DATA_LEFT~regout\,
        datad => \u5|PCM_Data_Difference\(9),
        combout => \u5|Add2~6_combout\);

\u5|PCM_Data_Difference[7]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u5|PCM_Data_Difference[7]~8_combout\,
        ena => \u5|PCM_Data_Difference[0]~1_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u5|PCM_Data_Difference\(7));

\u5|Add2~8\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add2~8_combout\ = \u5|PCM_Data_Difference\(7) $ \ADPCM_DECODER_DATA_LEFT~regout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110000111100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u5|PCM_Data_Difference\(7),
        datac => \ADPCM_DECODER_DATA_LEFT~regout\,
        combout => \u5|Add2~8_combout\);

\u5|PCM_Data_Difference[6]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u5|PCM_Data_Difference[6]~9_combout\,
        ena => \u5|PCM_Data_Difference[0]~1_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u5|PCM_Data_Difference\(6));

\u5|Add2~9\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add2~9_combout\ = \ADPCM_DECODER_DATA_LEFT~regout\ $ \u5|PCM_Data_Difference\(6)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011001111001100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \ADPCM_DECODER_DATA_LEFT~regout\,
        datad => \u5|PCM_Data_Difference\(6),
        combout => \u5|Add2~9_combout\);

\u5|PCM_Data_Difference[5]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u5|PCM_Data_Difference[5]~10_combout\,
        ena => \u5|PCM_Data_Difference[0]~1_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u5|PCM_Data_Difference\(5));

\u5|Add2~10\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add2~10_combout\ = \ADPCM_DECODER_DATA_LEFT~regout\ $ \u5|PCM_Data_Difference\(5)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011001111001100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \ADPCM_DECODER_DATA_LEFT~regout\,
        datad => \u5|PCM_Data_Difference\(5),
        combout => \u5|Add2~10_combout\);

\u5|PCM_Data_Difference[4]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u5|PCM_Data_Difference[4]~11_combout\,
        ena => \u5|PCM_Data_Difference[0]~1_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u5|PCM_Data_Difference\(4));

\u5|Add2~11\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add2~11_combout\ = \ADPCM_DECODER_DATA_LEFT~regout\ $ \u5|PCM_Data_Difference\(4)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011001111001100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \ADPCM_DECODER_DATA_LEFT~regout\,
        datad => \u5|PCM_Data_Difference\(4),
        combout => \u5|Add2~11_combout\);

\I2C_Register_Address_Stream~11\ : cycloneii_lcell_comb
-- Equation(s):
-- \I2C_Register_Address_Stream~11_combout\ = !I2C_Stream_Counter(1) & !I2C_Stream_Counter(2)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000000001111",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => I2C_Stream_Counter(1),
        datad => I2C_Stream_Counter(2),
        combout => \I2C_Register_Address_Stream~11_combout\);

\Mux2~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \Mux2~0_combout\ = I2C_Stream_Counter(1) & !I2C_Stream_Counter(0) & !I2C_Stream_Counter(2)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000000100010",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => I2C_Stream_Counter(1),
        datab => I2C_Stream_Counter(0),
        datad => I2C_Stream_Counter(2),
        combout => \Mux2~0_combout\);

\I2C_Register_Address_Stream~13\ : cycloneii_lcell_comb
-- Equation(s):
-- \I2C_Register_Address_Stream~13_combout\ = !I2C_Stream_Counter(1) & (I2C_Stream_Counter(0) # I2C_Stream_Counter(2))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0101010101000100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => I2C_Stream_Counter(1),
        datab => I2C_Stream_Counter(0),
        datad => I2C_Stream_Counter(2),
        combout => \I2C_Register_Address_Stream~13_combout\);

\I2C_Stream_Counter~9\ : cycloneii_lcell_comb
-- Equation(s):
-- \I2C_Stream_Counter~9_combout\ = I2C_Stream_Counter(1) $ I2C_Stream_Counter(2)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111111110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => I2C_Stream_Counter(1),
        datad => I2C_Stream_Counter(2),
        combout => \I2C_Stream_Counter~9_combout\);

\Mux3~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \Mux3~0_combout\ = !I2C_Stream_Counter(2) & (I2C_Stream_Counter(0) & !AUDIO_CODEC_VOLUME(6) & !I2C_Stream_Counter(1) # !I2C_Stream_Counter(0) & (I2C_Stream_Counter(1)))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000001100000100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => AUDIO_CODEC_VOLUME(6),
        datab => I2C_Stream_Counter(0),
        datac => I2C_Stream_Counter(2),
        datad => I2C_Stream_Counter(1),
        combout => \Mux3~0_combout\);

\Mux7~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \Mux7~0_combout\ = !I2C_Stream_Counter(2) & I2C_Stream_Counter(0) & (AUDIO_CODEC_VOLUME(2) # I2C_Stream_Counter(1))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011000000100000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => AUDIO_CODEC_VOLUME(2),
        datab => I2C_Stream_Counter(2),
        datac => I2C_Stream_Counter(0),
        datad => I2C_Stream_Counter(1),
        combout => \Mux7~0_combout\);

\u6|LessThan2~1\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|LessThan2~1_combout\ = !\u6|PCM_Data\(4) & !\u6|PCM_Data\(5)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000000110011",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u6|PCM_Data\(4),
        datad => \u6|PCM_Data\(5),
        combout => \u6|LessThan2~1_combout\);

\u6|Add7~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add7~0_combout\ = \u6|PCM_Data\(12) # \u6|PCM_Data\(13) & !\u6|PCM_Data~136_combout\ & !\u6|LessThan2~4_combout\ # !\u6|PCM_Data\(13) & \u6|PCM_Data~136_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1011101010111110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|PCM_Data\(12),
        datab => \u6|PCM_Data\(13),
        datac => \u6|PCM_Data~136_combout\,
        datad => \u6|LessThan2~4_combout\,
        combout => \u6|Add7~0_combout\);

\u6|Add7~1\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add7~1_combout\ = \u6|PCM_Data\(12) $ (\u6|PCM_Data\(13) & !\u6|PCM_Data~136_combout\ & !\u6|LessThan2~4_combout\ # !\u6|PCM_Data\(13) & \u6|PCM_Data~136_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1001101010010110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|PCM_Data\(12),
        datab => \u6|PCM_Data\(13),
        datac => \u6|PCM_Data~136_combout\,
        datad => \u6|LessThan2~4_combout\,
        combout => \u6|Add7~1_combout\);

\u6|LessThan7~1\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|LessThan7~1_combout\ = \u6|PCM_Data\(10) & (\u6|PCM_Data\(8) # \u6|PCM_Data\(9) # !\u6|LessThan2~2_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111101100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|PCM_Data\(8),
        datab => \u6|LessThan2~2_combout\,
        datac => \u6|PCM_Data\(9),
        datad => \u6|PCM_Data\(10),
        combout => \u6|LessThan7~1_combout\);

\u6|Add8~13\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add8~13_combout\ = \u6|LessThan6~0_combout\ & \u6|Add8~5_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|LessThan6~0_combout\,
        datad => \u6|Add8~5_combout\,
        combout => \u6|Add8~13_combout\);

\u6|PCM_Data~139\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|PCM_Data~139_combout\ = \u6|LessThan7~2_combout\ & \u6|Add9~2_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|LessThan7~2_combout\,
        datad => \u6|Add9~2_combout\,
        combout => \u6|PCM_Data~139_combout\);

\u6|Add10~21\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add10~21_combout\ = \u6|LessThan8~2_combout\ & \u6|Add10~4_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|LessThan8~2_combout\,
        datad => \u6|Add10~4_combout\,
        combout => \u6|Add10~21_combout\);

\u6|Add12~18\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add12~18_combout\ = \u6|Add12~9_combout\ & \u6|LessThan10~3_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Add12~9_combout\,
        datad => \u6|LessThan10~3_combout\,
        combout => \u6|Add12~18_combout\);

\u6|PCM_Data~150\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|PCM_Data~150_combout\ = \u6|PCM_Data~147_combout\ & \u6|Add13~6_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|PCM_Data~147_combout\,
        datad => \u6|Add13~6_combout\,
        combout => \u6|PCM_Data~150_combout\);

\u6|Add14~25\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add14~25_combout\ = \u6|Add14~3_combout\ & \u6|LessThan12~3_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Add14~3_combout\,
        datad => \u6|LessThan12~3_combout\,
        combout => \u6|Add14~25_combout\);

\u6|Add14~31\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add14~31_combout\ = \u6|Add14~15_combout\ & \u6|LessThan12~3_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Add14~15_combout\,
        datad => \u6|LessThan12~3_combout\,
        combout => \u6|Add14~31_combout\);

\u6|Add14~32\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add14~32_combout\ = \u6|Add14~17_combout\ & \u6|LessThan12~3_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Add14~17_combout\,
        datad => \u6|LessThan12~3_combout\,
        combout => \u6|Add14~32_combout\);

\u6|LessThan13~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|LessThan13~2_combout\ = \u6|Add14~31_combout\ # \u6|Add14~32_combout\ # \u6|PCM_Data\(4) & !\u6|LessThan2~0_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111110010",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|PCM_Data\(4),
        datab => \u6|LessThan2~0_combout\,
        datac => \u6|Add14~31_combout\,
        datad => \u6|Add14~32_combout\,
        combout => \u6|LessThan13~2_combout\);

\u6|LessThan14~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|LessThan14~0_combout\ = \u6|Add15~16_combout\ # \u6|Add15~20_combout\ # \u6|Add15~22_combout\ # \u6|Add15~18_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111111110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Add15~16_combout\,
        datab => \u6|Add15~20_combout\,
        datac => \u6|Add15~22_combout\,
        datad => \u6|Add15~18_combout\,
        combout => \u6|LessThan14~0_combout\);

\u6|LessThan14~1\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|LessThan14~1_combout\ = \u6|LessThan13~3_combout\ & (\u6|LessThan14~0_combout\ # \u6|Add15~10_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100110011000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u6|LessThan13~3_combout\,
        datac => \u6|LessThan14~0_combout\,
        datad => \u6|Add15~10_combout\,
        combout => \u6|LessThan14~1_combout\);

\u6|PCM_Data~156\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|PCM_Data~156_combout\ = \u6|Add15~6_combout\ & \u6|LessThan13~3_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Add15~6_combout\,
        datad => \u6|LessThan13~3_combout\,
        combout => \u6|PCM_Data~156_combout\);

\u6|LessThan2~7\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|LessThan2~7_combout\ = !\u6|PCM_Data\(1) & !\u6|PCM_Data\(2) & !\u6|PCM_Data\(0)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000000010001",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|PCM_Data\(1),
        datab => \u6|PCM_Data\(2),
        datad => \u6|PCM_Data\(0),
        combout => \u6|LessThan2~7_combout\);

\u6|PCM_Data~161\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|PCM_Data~161_combout\ = \u6|LessThan13~3_combout\ & \u6|Add15~10_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|LessThan13~3_combout\,
        datad => \u6|Add15~10_combout\,
        combout => \u6|PCM_Data~161_combout\);

\u6|Add16~34\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add16~34_combout\ = \u6|LessThan14~4_combout\ & \u6|Add16~19_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|LessThan14~4_combout\,
        datad => \u6|Add16~19_combout\,
        combout => \u6|Add16~34_combout\);

\u6|LessThan15~3\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|LessThan15~3_combout\ = \u6|PCM_Data\(2) & (\u6|PCM_Data\(1) # \u6|PCM_Data\(0))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000010100000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|PCM_Data\(1),
        datac => \u6|PCM_Data\(2),
        datad => \u6|PCM_Data\(0),
        combout => \u6|LessThan15~3_combout\);

\u6|LessThan15~4\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|LessThan15~4_combout\ = \u6|LessThan15~3_combout\ # \u6|LessThan14~4_combout\ & (\u6|Add16~9_combout\ # \u6|Add16~11_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111010101010",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|LessThan15~3_combout\,
        datab => \u6|Add16~9_combout\,
        datac => \u6|Add16~11_combout\,
        datad => \u6|LessThan14~4_combout\,
        combout => \u6|LessThan15~4_combout\);

\u6|LessThan16~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|LessThan16~0_combout\ = \u6|Add17~22_combout\ # \u6|Add17~20_combout\ # \u6|Add17~24_combout\ # \u6|Add17~26_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111111110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Add17~22_combout\,
        datab => \u6|Add17~20_combout\,
        datac => \u6|Add17~24_combout\,
        datad => \u6|Add17~26_combout\,
        combout => \u6|LessThan16~0_combout\);

\u6|LessThan16~1\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|LessThan16~1_combout\ = \u6|PCM_Data~162_combout\ # \u6|LessThan15~5_combout\ & (\u6|Add17~18_combout\ # \u6|LessThan16~0_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111110101000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|LessThan15~5_combout\,
        datab => \u6|Add17~18_combout\,
        datac => \u6|LessThan16~0_combout\,
        datad => \u6|PCM_Data~162_combout\,
        combout => \u6|LessThan16~1_combout\);

\u6|PCM_Data~168\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|PCM_Data~168_combout\ = \u6|LessThan15~5_combout\ & \u6|Add17~14_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|LessThan15~5_combout\,
        datad => \u6|Add17~14_combout\,
        combout => \u6|PCM_Data~168_combout\);

\u6|PCM_Data~170\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|PCM_Data~170_combout\ = \u6|LessThan15~5_combout\ & \u6|Add17~10_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100110000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u6|LessThan15~5_combout\,
        datad => \u6|Add17~10_combout\,
        combout => \u6|PCM_Data~170_combout\);

\u6|Add18~32\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add18~32_combout\ = \u6|LessThan16~5_combout\ & \u6|Add18~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|LessThan16~5_combout\,
        datad => \u6|Add18~12_combout\,
        combout => \u6|Add18~32_combout\);

\u6|Add18~33\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add18~33_combout\ = \u6|LessThan16~5_combout\ & \u6|Add18~14_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|LessThan16~5_combout\,
        datad => \u6|Add18~14_combout\,
        combout => \u6|Add18~33_combout\);

\u6|Add18~34\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add18~34_combout\ = \u6|LessThan16~5_combout\ & \u6|Add18~28_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|LessThan16~5_combout\,
        datad => \u6|Add18~28_combout\,
        combout => \u6|Add18~34_combout\);

\u6|Add18~35\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add18~35_combout\ = \u6|Add18~20_combout\ & \u6|LessThan16~5_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Add18~20_combout\,
        datad => \u6|LessThan16~5_combout\,
        combout => \u6|Add18~35_combout\);

\u6|LessThan17~1\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|LessThan17~1_combout\ = \u6|Add18~32_combout\ # \u6|Add18~33_combout\ # \u6|Add18~35_combout\ # \u6|Add18~34_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111111110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Add18~32_combout\,
        datab => \u6|Add18~33_combout\,
        datac => \u6|Add18~35_combout\,
        datad => \u6|Add18~34_combout\,
        combout => \u6|LessThan17~1_combout\);

\u4|FLASH_MEMORY_DATA_OUT[7]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \u4|ALT_INV_Flash_Memory_Clock~clkctrl_outclk\,
        datain => \u4|FLASH_MEMORY_DATA_OUT[7]~feeder_combout\,
        ena => \u4|Mux33~0_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u4|FLASH_MEMORY_DATA_OUT\(7));

\u5|Div0|auto_generated|divider|divider|StageOut[54]~217\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[54]~217_combout\ = !\u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\ & \u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[6]~8_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0101010100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[6]~8_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[54]~217_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[53]~218\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[53]~218_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[5]~6_combout\ & !\u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[5]~6_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[53]~218_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[52]~219\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[52]~219_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[4]~4_combout\ & !\u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[4]~4_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[52]~219_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[51]~220\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[51]~220_combout\ = !\u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\ & \u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[3]~2_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[3]~2_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[51]~220_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[50]~221\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[50]~221_combout\ = !\u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\ & \u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[2]~0_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[2]~0_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[50]~221_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[49]~214\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[49]~214_combout\ = \u5|Mult0|auto_generated|mac_out2~DATAOUT14\ & \u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Mult0|auto_generated|mac_out2~DATAOUT14\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[49]~214_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[59]~204\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[59]~204_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[3]~2_combout\ & !\u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[3]~2_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[59]~204_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[58]~205\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[58]~205_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[2]~0_combout\ & !\u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[2]~0_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[58]~205_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[57]~198\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[57]~198_combout\ = \u5|Mult0|auto_generated|mac_out2~DATAOUT13\ & \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Mult0|auto_generated|mac_out2~DATAOUT13\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[57]~198_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[48]~215\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[48]~215_combout\ = \u5|Mult0|auto_generated|mac_out2~DATAOUT13\ & \u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010101000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Mult0|auto_generated|mac_out2~DATAOUT13\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[48]~215_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[48]~223\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[48]~223_combout\ = \u5|Mult0|auto_generated|mac_out2~DATAOUT13\ & !\u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000010101010",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Mult0|auto_generated|mac_out2~DATAOUT13\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[48]~223_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[67]~188\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[67]~188_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[3]~2_combout\ & !\u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[3]~2_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[67]~188_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[56]~199\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[56]~199_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\ & \u5|Mult0|auto_generated|mac_out2~DATAOUT12\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\,
        datad => \u5|Mult0|auto_generated|mac_out2~DATAOUT12\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[56]~199_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[56]~207\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[56]~207_combout\ = !\u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\ & \u5|Mult0|auto_generated|mac_out2~DATAOUT12\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\,
        datad => \u5|Mult0|auto_generated|mac_out2~DATAOUT12\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[56]~207_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[65]~190\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[65]~190_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[1]~14_combout\ & !\u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[1]~14_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[65]~190_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[78]~169\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[78]~169_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[6]~8_combout\ & !\u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[6]~8_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[78]~169_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[76]~171\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[76]~171_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[4]~4_combout\ & !\u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[4]~4_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[76]~171_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[73]~166\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[73]~166_combout\ = \u5|Mult0|auto_generated|mac_out2~DATAOUT11\ & \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Mult0|auto_generated|mac_out2~DATAOUT11\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[73]~166_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[86]~153\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[86]~153_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[6]~8_combout\ & !\u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000010101010",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[6]~8_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[86]~153_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[72]~167\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[72]~167_combout\ = \u5|Mult0|auto_generated|mac_out2~DATAOUT10\ & \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100000011000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u5|Mult0|auto_generated|mac_out2~DATAOUT10\,
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[72]~167_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[72]~175\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[72]~175_combout\ = \u5|Mult0|auto_generated|mac_out2~DATAOUT10\ & !\u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000110000001100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u5|Mult0|auto_generated|mac_out2~DATAOUT10\,
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[72]~175_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[81]~158\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[81]~158_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[1]~14_combout\ & !\u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[1]~14_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[81]~158_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[94]~137\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[94]~137_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[6]~8_combout\ & !\u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[6]~8_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[94]~137_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[93]~138\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[93]~138_combout\ = !\u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\ & \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[5]~6_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[5]~6_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[93]~138_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[90]~141\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[90]~141_combout\ = !\u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\ & \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[2]~0_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[2]~0_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[90]~141_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[89]~134\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[89]~134_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\ & \u5|Mult0|auto_generated|mac_out2~DATAOUT9\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\,
        datad => \u5|Mult0|auto_generated|mac_out2~DATAOUT9\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[89]~134_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[102]~121\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[102]~121_combout\ = !\u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\ & \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[6]~8_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[6]~8_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[102]~121_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[101]~122\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[101]~122_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[5]~6_combout\ & !\u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[5]~6_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[101]~122_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[99]~124\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[99]~124_combout\ = !\u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\ & \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[3]~2_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[3]~2_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[99]~124_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[98]~125\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[98]~125_combout\ = !\u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\ & \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[2]~0_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[2]~0_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[98]~125_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[97]~118\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[97]~118_combout\ = \u5|Mult0|auto_generated|mac_out2~DATAOUT8\ & \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100000011000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u5|Mult0|auto_generated|mac_out2~DATAOUT8\,
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[97]~118_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[110]~105\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[110]~105_combout\ = !\u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\ & \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[6]~8_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[6]~8_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[110]~105_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[106]~109\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[106]~109_combout\ = !\u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\ & \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[2]~0_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[2]~0_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[106]~109_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[96]~119\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[96]~119_combout\ = \u5|Mult0|auto_generated|mac_out2~DATAOUT7\ & \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Mult0|auto_generated|mac_out2~DATAOUT7\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[96]~119_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[96]~127\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[96]~127_combout\ = \u5|Mult0|auto_generated|mac_out2~DATAOUT7\ & !\u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Mult0|auto_generated|mac_out2~DATAOUT7\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[96]~127_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[105]~110\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[105]~110_combout\ = !\u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\ & \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[1]~14_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[1]~14_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[105]~110_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[116]~91\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[116]~91_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[4]~4_combout\ & !\u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[4]~4_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[116]~91_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[115]~92\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[115]~92_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[3]~2_combout\ & !\u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011001100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[3]~2_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[115]~92_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[113]~86\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[113]~86_combout\ = \u5|Mult0|auto_generated|mac_out2~DATAOUT6\ & \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Mult0|auto_generated|mac_out2~DATAOUT6\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[113]~86_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[126]~73\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[126]~73_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[6]~8_combout\ & !\u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[6]~8_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[126]~73_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[124]~75\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[124]~75_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[4]~4_combout\ & !\u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[4]~4_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[124]~75_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[112]~87\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[112]~87_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\ & \u5|Mult0|auto_generated|mac_out2~DATAOUT5\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\,
        datad => \u5|Mult0|auto_generated|mac_out2~DATAOUT5\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[112]~87_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[112]~95\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[112]~95_combout\ = !\u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\ & \u5|Mult0|auto_generated|mac_out2~DATAOUT5\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\,
        datad => \u5|Mult0|auto_generated|mac_out2~DATAOUT5\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[112]~95_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[121]~78\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[121]~78_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[1]~14_combout\ & !\u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[1]~14_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[121]~78_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[131]~60\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[131]~60_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[3]~2_combout\ & !\u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011001100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[3]~2_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[131]~60_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[120]~71\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[120]~71_combout\ = \u5|Mult0|auto_generated|mac_out2~DATAOUT4\ & \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100000011000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u5|Mult0|auto_generated|mac_out2~DATAOUT4\,
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[120]~71_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[120]~79\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[120]~79_combout\ = \u5|Mult0|auto_generated|mac_out2~DATAOUT4\ & !\u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000110000001100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u5|Mult0|auto_generated|mac_out2~DATAOUT4\,
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[120]~79_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[129]~62\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[129]~62_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[1]~14_combout\ & !\u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[1]~14_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[129]~62_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[128]~55\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[128]~55_combout\ = \u5|Mult0|auto_generated|mac_out2~DATAOUT3\ & \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Mult0|auto_generated|mac_out2~DATAOUT3\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[128]~55_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[128]~63\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[128]~63_combout\ = \u5|Mult0|auto_generated|mac_out2~DATAOUT3\ & !\u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Mult0|auto_generated|mac_out2~DATAOUT3\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[128]~63_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[137]~46\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[137]~46_combout\ = !\u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\ & \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[1]~14_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[1]~14_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[137]~46_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[150]~25\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[150]~25_combout\ = \u5|Div0|auto_generated|divider|divider|op_9~29_combout\ & !\u5|Div0|auto_generated|divider|divider|op_9~33_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011001100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u5|Div0|auto_generated|divider|divider|op_9~29_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|op_9~33_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[150]~25_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[149]~26\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[149]~26_combout\ = \u5|Div0|auto_generated|divider|divider|op_9~27_combout\ & !\u5|Div0|auto_generated|divider|divider|op_9~33_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|op_9~27_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|op_9~33_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[149]~26_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[146]~29\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[146]~29_combout\ = \u5|Div0|auto_generated|divider|divider|op_9~21_combout\ & !\u5|Div0|auto_generated|divider|divider|op_9~33_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000010101010",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|op_9~21_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|op_9~33_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[146]~29_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[136]~39\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[136]~39_combout\ = \u5|Mult0|auto_generated|mac_out2~DATAOUT2\ & \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Mult0|auto_generated|mac_out2~DATAOUT2\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[136]~39_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[136]~47\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[136]~47_combout\ = \u5|Mult0|auto_generated|mac_out2~DATAOUT2\ & !\u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Mult0|auto_generated|mac_out2~DATAOUT2\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[136]~47_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[145]~30\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[145]~30_combout\ = \u5|Div0|auto_generated|divider|divider|op_9~35_combout\ & !\u5|Div0|auto_generated|divider|divider|op_9~33_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|op_9~35_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|op_9~33_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[145]~30_combout\);

\u6|Add18~44\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add18~44_combout\ = \u6|LessThan16~5_combout\ & \u6|Add18~22_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|LessThan16~5_combout\,
        datad => \u6|Add18~22_combout\,
        combout => \u6|Add18~44_combout\);

\u6|PCM_Data[0]~174\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|PCM_Data[0]~174_combout\ = !\u6|ADPCM_Decoder_State_Counter\(0) & \u6|PCM_Data\(0) & !\u6|ADPCM_Decoder_State_Counter\(1) & \u6|ADPCM_Decoder_State_Counter\(2)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000010000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|ADPCM_Decoder_State_Counter\(0),
        datab => \u6|PCM_Data\(0),
        datac => \u6|ADPCM_Decoder_State_Counter\(1),
        datad => \u6|ADPCM_Decoder_State_Counter\(2),
        combout => \u6|PCM_Data[0]~174_combout\);

\u6|PCM_Data_Difference[0]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u6|Div0|auto_generated|divider|divider|add_sub_19_result_int[8]~12_combout\,
        ena => \u5|PCM_Data_Difference[0]~1_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u6|PCM_Data_Difference\(0));

\u6|Add2~4\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add2~4_combout\ = \ADPCM_DECODER_DATA_RIGHT~regout\ $ !\u6|PCM_Data_Difference\(0)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000001111",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \ADPCM_DECODER_DATA_RIGHT~regout\,
        datad => \u6|PCM_Data_Difference\(0),
        combout => \u6|Add2~4_combout\);

\u6|PCM_Data_Difference[4]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u6|PCM_Data_Difference[4]~3_combout\,
        ena => \u5|PCM_Data_Difference[0]~1_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u6|PCM_Data_Difference\(4));

\u6|Add2~15\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add2~15_combout\ = \u6|PCM_Data_Difference\(4) $ \ADPCM_DECODER_DATA_RIGHT~regout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110000111100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u6|PCM_Data_Difference\(4),
        datac => \ADPCM_DECODER_DATA_RIGHT~regout\,
        combout => \u6|Add2~15_combout\);

\u6|PCM_Data_Difference[5]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u6|PCM_Data_Difference[5]~4_combout\,
        ena => \u5|PCM_Data_Difference[0]~1_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u6|PCM_Data_Difference\(5));

\u6|Add2~18\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add2~18_combout\ = \ADPCM_DECODER_DATA_RIGHT~regout\ $ \u6|PCM_Data_Difference\(5)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111111110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \ADPCM_DECODER_DATA_RIGHT~regout\,
        datad => \u6|PCM_Data_Difference\(5),
        combout => \u6|Add2~18_combout\);

\u6|PCM_Data_Difference[6]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u6|PCM_Data_Difference[6]~5_combout\,
        ena => \u5|PCM_Data_Difference[0]~1_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u6|PCM_Data_Difference\(6));

\u6|Add2~21\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add2~21_combout\ = \ADPCM_DECODER_DATA_RIGHT~regout\ $ \u6|PCM_Data_Difference\(6)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111111110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \ADPCM_DECODER_DATA_RIGHT~regout\,
        datad => \u6|PCM_Data_Difference\(6),
        combout => \u6|Add2~21_combout\);

\u6|PCM_Data_Difference[7]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u6|PCM_Data_Difference[7]~6_combout\,
        ena => \u5|PCM_Data_Difference[0]~1_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u6|PCM_Data_Difference\(7));

\u6|Add2~24\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add2~24_combout\ = \ADPCM_DECODER_DATA_RIGHT~regout\ $ \u6|PCM_Data_Difference\(7)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111111110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \ADPCM_DECODER_DATA_RIGHT~regout\,
        datad => \u6|PCM_Data_Difference\(7),
        combout => \u6|Add2~24_combout\);

\u6|PCM_Data_Difference[8]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u6|PCM_Data_Difference[8]~7_combout\,
        ena => \u5|PCM_Data_Difference[0]~1_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u6|PCM_Data_Difference\(8));

\u6|Add2~27\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add2~27_combout\ = \u6|PCM_Data_Difference\(8) $ \ADPCM_DECODER_DATA_RIGHT~regout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110000111100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u6|PCM_Data_Difference\(8),
        datac => \ADPCM_DECODER_DATA_RIGHT~regout\,
        combout => \u6|Add2~27_combout\);

\u6|PCM_Data_Difference[9]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u6|PCM_Data_Difference[9]~8_combout\,
        ena => \u5|PCM_Data_Difference[0]~1_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u6|PCM_Data_Difference\(9));

\u6|Add2~30\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add2~30_combout\ = \ADPCM_DECODER_DATA_RIGHT~regout\ $ \u6|PCM_Data_Difference\(9)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111111110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \ADPCM_DECODER_DATA_RIGHT~regout\,
        datad => \u6|PCM_Data_Difference\(9),
        combout => \u6|Add2~30_combout\);

\u6|PCM_Data_Difference[11]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u6|PCM_Data_Difference[11]~10_combout\,
        ena => \u5|PCM_Data_Difference[0]~1_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u6|PCM_Data_Difference\(11));

\u6|Add2~36\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add2~36_combout\ = \ADPCM_DECODER_DATA_RIGHT~regout\ $ \u6|PCM_Data_Difference\(11)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111111110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \ADPCM_DECODER_DATA_RIGHT~regout\,
        datad => \u6|PCM_Data_Difference\(11),
        combout => \u6|Add2~36_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[54]~217\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[54]~217_combout\ = !\u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\ & \u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[6]~8_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[6]~8_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[54]~217_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[53]~210\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[53]~210_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\ & \u6|Mult0|auto_generated|mac_out2~DATAOUT18\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\,
        datad => \u6|Mult0|auto_generated|mac_out2~DATAOUT18\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[53]~210_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[52]~211\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[52]~211_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\ & \u6|Mult0|auto_generated|mac_out2~DATAOUT17\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\,
        datad => \u6|Mult0|auto_generated|mac_out2~DATAOUT17\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[52]~211_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[51]~220\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[51]~220_combout\ = !\u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\ & \u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[3]~2_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[3]~2_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[51]~220_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[50]~213\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[50]~213_combout\ = \u6|Mult0|auto_generated|mac_out2~DATAOUT15\ & \u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Mult0|auto_generated|mac_out2~DATAOUT15\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[50]~213_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[49]~222\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[49]~222_combout\ = !\u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\ & \u6|Mult0|auto_generated|mac_out2~DATAOUT14\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\,
        datad => \u6|Mult0|auto_generated|mac_out2~DATAOUT14\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[49]~222_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[61]~202\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[61]~202_combout\ = !\u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\ & \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[5]~6_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[5]~6_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[61]~202_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[58]~197\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[58]~197_combout\ = \u6|Mult0|auto_generated|mac_out2~DATAOUT14\ & \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Mult0|auto_generated|mac_out2~DATAOUT14\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[58]~197_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[57]~198\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[57]~198_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\ & \u6|Mult0|auto_generated|mac_out2~DATAOUT13\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\,
        datad => \u6|Mult0|auto_generated|mac_out2~DATAOUT13\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[57]~198_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[69]~186\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[69]~186_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[5]~6_combout\ & !\u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[5]~6_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[69]~186_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[67]~188\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[67]~188_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[3]~2_combout\ & !\u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[3]~2_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[67]~188_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[65]~182\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[65]~182_combout\ = \u6|Mult0|auto_generated|mac_out2~DATAOUT12\ & \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Mult0|auto_generated|mac_out2~DATAOUT12\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[65]~182_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[75]~172\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[75]~172_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[3]~2_combout\ & !\u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[3]~2_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[75]~172_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[73]~166\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[73]~166_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\ & \u6|Mult0|auto_generated|mac_out2~DATAOUT11\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\,
        datad => \u6|Mult0|auto_generated|mac_out2~DATAOUT11\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[73]~166_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[83]~156\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[83]~156_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[3]~2_combout\ & !\u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000010101010",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[3]~2_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[83]~156_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[81]~150\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[81]~150_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\ & \u6|Mult0|auto_generated|mac_out2~DATAOUT10\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\,
        datad => \u6|Mult0|auto_generated|mac_out2~DATAOUT10\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[81]~150_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[94]~137\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[94]~137_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[6]~8_combout\ & !\u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011001100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[6]~8_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[94]~137_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[93]~138\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[93]~138_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[5]~6_combout\ & !\u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[5]~6_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[93]~138_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[91]~140\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[91]~140_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[3]~2_combout\ & !\u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011001100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[3]~2_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[91]~140_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[90]~141\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[90]~141_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[2]~0_combout\ & !\u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[2]~0_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[90]~141_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[80]~151\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[80]~151_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\ & \u6|Mult0|auto_generated|mac_out2~DATAOUT9\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\,
        datad => \u6|Mult0|auto_generated|mac_out2~DATAOUT9\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[80]~151_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[80]~159\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[80]~159_combout\ = !\u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\ & \u6|Mult0|auto_generated|mac_out2~DATAOUT9\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\,
        datad => \u6|Mult0|auto_generated|mac_out2~DATAOUT9\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[80]~159_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[89]~142\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[89]~142_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[1]~14_combout\ & !\u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011001100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[1]~14_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[89]~142_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[101]~122\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[101]~122_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[5]~6_combout\ & !\u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[5]~6_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[101]~122_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[97]~118\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[97]~118_combout\ = \u6|Mult0|auto_generated|mac_out2~DATAOUT8\ & \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100110000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u6|Mult0|auto_generated|mac_out2~DATAOUT8\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[97]~118_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[110]~105\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[110]~105_combout\ = !\u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\ & \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[6]~8_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[6]~8_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[110]~105_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[106]~109\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[106]~109_combout\ = !\u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\ & \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[2]~0_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[2]~0_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[106]~109_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[105]~102\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[105]~102_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\ & \u6|Mult0|auto_generated|mac_out2~DATAOUT7\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\,
        datad => \u6|Mult0|auto_generated|mac_out2~DATAOUT7\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[105]~102_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[115]~92\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[115]~92_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[3]~2_combout\ & !\u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011001100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[3]~2_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[115]~92_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[113]~86\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[113]~86_combout\ = \u6|Mult0|auto_generated|mac_out2~DATAOUT6\ & \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Mult0|auto_generated|mac_out2~DATAOUT6\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[113]~86_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[124]~75\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[124]~75_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[4]~4_combout\ & !\u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[4]~4_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[124]~75_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[121]~70\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[121]~70_combout\ = \u6|Mult0|auto_generated|mac_out2~DATAOUT5\ & \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010101000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Mult0|auto_generated|mac_out2~DATAOUT5\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[121]~70_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[133]~58\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[133]~58_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[5]~6_combout\ & !\u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[5]~6_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[133]~58_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[130]~61\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[130]~61_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[2]~0_combout\ & !\u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011001100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[2]~0_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[130]~61_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[129]~62\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[129]~62_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[1]~14_combout\ & !\u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[1]~14_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[129]~62_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[142]~41\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[142]~41_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[6]~8_combout\ & !\u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011001100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[6]~8_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[142]~41_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[139]~44\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[139]~44_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[3]~2_combout\ & !\u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000010101010",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[3]~2_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[139]~44_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[138]~45\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[138]~45_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[2]~0_combout\ & !\u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000010101010",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[2]~0_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[138]~45_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[137]~38\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[137]~38_combout\ = \u6|Mult0|auto_generated|mac_out2~DATAOUT3\ & \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Mult0|auto_generated|mac_out2~DATAOUT3\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[137]~38_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[128]~55\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[128]~55_combout\ = \u6|Mult0|auto_generated|mac_out2~DATAOUT3\ & \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Mult0|auto_generated|mac_out2~DATAOUT3\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[128]~55_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[128]~63\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[128]~63_combout\ = \u6|Mult0|auto_generated|mac_out2~DATAOUT3\ & !\u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Mult0|auto_generated|mac_out2~DATAOUT3\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[128]~63_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[150]~25\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[150]~25_combout\ = \u6|Div0|auto_generated|divider|divider|op_9~29_combout\ & !\u6|Div0|auto_generated|divider|divider|op_9~33_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000101000001010",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|op_9~29_combout\,
        datac => \u6|Div0|auto_generated|divider|divider|op_9~33_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[150]~25_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[149]~26\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[149]~26_combout\ = !\u6|Div0|auto_generated|divider|divider|op_9~33_combout\ & \u6|Div0|auto_generated|divider|divider|op_9~27_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|op_9~33_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|op_9~27_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[149]~26_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[148]~27\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[148]~27_combout\ = !\u6|Div0|auto_generated|divider|divider|op_9~33_combout\ & \u6|Div0|auto_generated|divider|divider|op_9~25_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|op_9~33_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|op_9~25_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[148]~27_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[147]~28\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[147]~28_combout\ = !\u6|Div0|auto_generated|divider|divider|op_9~33_combout\ & \u6|Div0|auto_generated|divider|divider|op_9~23_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|op_9~33_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|op_9~23_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[147]~28_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[146]~29\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[146]~29_combout\ = !\u6|Div0|auto_generated|divider|divider|op_9~33_combout\ & \u6|Div0|auto_generated|divider|divider|op_9~21_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|op_9~33_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|op_9~21_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[146]~29_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[145]~22\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[145]~22_combout\ = \u6|Mult0|auto_generated|mac_out2~DATAOUT2\ & \u6|Div0|auto_generated|divider|divider|op_9~33_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Mult0|auto_generated|mac_out2~DATAOUT2\,
        datad => \u6|Div0|auto_generated|divider|divider|op_9~33_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[145]~22_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[136]~39\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[136]~39_combout\ = \u6|Mult0|auto_generated|mac_out2~DATAOUT2\ & \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Mult0|auto_generated|mac_out2~DATAOUT2\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[136]~39_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[136]~47\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[136]~47_combout\ = \u6|Mult0|auto_generated|mac_out2~DATAOUT2\ & !\u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Mult0|auto_generated|mac_out2~DATAOUT2\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[136]~47_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[145]~30\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[145]~30_combout\ = \u6|Div0|auto_generated|divider|divider|op_9~35_combout\ & !\u6|Div0|auto_generated|divider|divider|op_9~33_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|op_9~35_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|op_9~33_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[145]~30_combout\);

\u5|Add0~1\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add0~1_combout\ = !\u5|ADPCM_Decoder_Step_Size_Table_Pointer[6]~_Duplicate_2_regout\ # !\u5|ADPCM_Decoder_Step_Size_Table_Pointer[5]~_Duplicate_2_regout\ # !\u5|ADPCM_Decoder_Step_Size_Table_Pointer[7]~_Duplicate_2_regout\ # 
-- !\u5|ADPCM_Decoder_Step_Size_Table_Pointer[8]~_Duplicate_2_regout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0111111111111111",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[8]~_Duplicate_2_regout\,
        datab => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[7]~_Duplicate_2_regout\,
        datac => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[5]~_Duplicate_2_regout\,
        datad => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[6]~_Duplicate_2_regout\,
        combout => \u5|Add0~1_combout\);

\u5|Add0~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add0~2_combout\ = \u5|process_0~1_combout\ # \u5|Add0~1_combout\ # \u5|LessThan0~0_combout\ # !\u5|ADPCM_Decoder_Step_Size_Table_Pointer[9]~_Duplicate_2_regout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111111101",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[9]~_Duplicate_2_regout\,
        datab => \u5|process_0~1_combout\,
        datac => \u5|Add0~1_combout\,
        datad => \u5|LessThan0~0_combout\,
        combout => \u5|Add0~2_combout\);

\u5|ADPCM_Decoder_Step_Size_Table_Pointer[9]~42\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|ADPCM_Decoder_Step_Size_Table_Pointer[9]~42_combout\ = \u5|ADPCM_Decoder_Step_Size_Table_Pointer[5]~_Duplicate_2_regout\ # \u5|ADPCM_Decoder_Step_Size_Table_Pointer[0]~_Duplicate_2_regout\ # 
-- \u5|ADPCM_Decoder_Step_Size_Table_Pointer[2]~_Duplicate_2_regout\ # \u5|ADPCM_Decoder_Step_Size_Table_Pointer[1]~_Duplicate_2_regout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111111110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[5]~_Duplicate_2_regout\,
        datab => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[0]~_Duplicate_2_regout\,
        datac => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[2]~_Duplicate_2_regout\,
        datad => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[1]~_Duplicate_2_regout\,
        combout => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[9]~42_combout\);

\u6|ADPCM_Decoder_Step_Size_Table_Pointer[4]~42\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|ADPCM_Decoder_Step_Size_Table_Pointer[4]~42_combout\ = \u6|ADPCM_Decoder_Step_Size_Table_Pointer[5]~_Duplicate_2_regout\ # \u6|ADPCM_Decoder_Step_Size_Table_Pointer[0]~_Duplicate_2_regout\ # 
-- \u6|ADPCM_Decoder_Step_Size_Table_Pointer[1]~_Duplicate_2_regout\ # \u6|ADPCM_Decoder_Step_Size_Table_Pointer[2]~_Duplicate_2_regout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111111110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[5]~_Duplicate_2_regout\,
        datab => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[0]~_Duplicate_2_regout\,
        datac => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[1]~_Duplicate_2_regout\,
        datad => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[2]~_Duplicate_2_regout\,
        combout => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[4]~42_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[70]~534\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[70]~534_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\ & (\u5|Div0|auto_generated|divider|divider|StageOut[61]~578_combout\ # 
-- !\u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\ & \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[5]~6_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111010000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[5]~6_combout\,
        datac => \u5|Div0|auto_generated|divider|divider|StageOut[61]~578_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[70]~534_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[85]~542\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[85]~542_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\ & (\u5|Div0|auto_generated|divider|divider|StageOut[76]~539_combout\ # 
-- \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[4]~4_combout\ & !\u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111001000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[4]~4_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\,
        datac => \u5|Div0|auto_generated|divider|divider|StageOut[76]~539_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[85]~542_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[83]~544\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[83]~544_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\ & (\u5|Div0|auto_generated|divider|divider|StageOut[74]~583_combout\ # 
-- \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[2]~0_combout\ & !\u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111001000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[2]~0_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\,
        datac => \u5|Div0|auto_generated|divider|divider|StageOut[74]~583_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[83]~544_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[91]~548\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[91]~548_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\ & (\u5|Div0|auto_generated|divider|divider|StageOut[82]~584_combout\ # 
-- \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[2]~0_combout\ & !\u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000100000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[2]~0_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\,
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|StageOut[82]~584_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[91]~548_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[100]~551\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[100]~551_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\ & (\u5|Div0|auto_generated|divider|divider|StageOut[91]~548_combout\ # 
-- !\u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\ & \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[3]~2_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1101000011000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[91]~548_combout\,
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[3]~2_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[100]~551_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[109]~554\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[109]~554_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\ & (\u5|Div0|auto_generated|divider|divider|StageOut[100]~551_combout\ # 
-- !\u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\ & \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[4]~4_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1101110000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[100]~551_combout\,
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[4]~4_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[109]~554_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[107]~556\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[107]~556_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\ & (\u5|Div0|auto_generated|divider|divider|StageOut[98]~586_combout\ # 
-- \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[2]~0_combout\ & !\u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000100000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[2]~0_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\,
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|StageOut[98]~586_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[107]~556_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[118]~557\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[118]~557_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\ & (\u5|Div0|auto_generated|divider|divider|StageOut[109]~554_combout\ # 
-- \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[5]~6_combout\ & !\u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100111000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[5]~6_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[109]~554_combout\,
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[118]~557_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[125]~562\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[125]~562_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\ & (\u5|Div0|auto_generated|divider|divider|StageOut[116]~559_combout\ # 
-- !\u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\ & \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[4]~4_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1011101000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[116]~559_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\,
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[4]~4_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[125]~562_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[123]~564\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[123]~564_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\ & (\u5|Div0|auto_generated|divider|divider|StageOut[114]~588_combout\ # 
-- !\u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\ & \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[2]~0_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1011101000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[114]~588_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\,
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[2]~0_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[123]~564_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[134]~565\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[134]~565_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\ & (\u5|Div0|auto_generated|divider|divider|StageOut[125]~562_combout\ # 
-- !\u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\ & \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[5]~6_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000001000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[5]~6_combout\,
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|StageOut[125]~562_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[134]~565_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[133]~566\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[133]~566_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\ & (\u5|Div0|auto_generated|divider|divider|StageOut[124]~563_combout\ # 
-- \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[4]~4_combout\ & !\u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100000011100000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[4]~4_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[124]~563_combout\,
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[133]~566_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[142]~569\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[142]~569_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\ & (\u5|Div0|auto_generated|divider|divider|StageOut[133]~566_combout\ # 
-- \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[5]~6_combout\ & !\u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010111000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[133]~566_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[5]~6_combout\,
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[142]~569_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[139]~572\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[139]~572_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\ & (\u5|Div0|auto_generated|divider|divider|StageOut[130]~590_combout\ # 
-- !\u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\ & \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[2]~0_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000001000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[2]~0_combout\,
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|StageOut[130]~590_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[139]~572_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[148]~575\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[148]~575_combout\ = \u5|Div0|auto_generated|divider|divider|op_9~33_combout\ & (\u5|Div0|auto_generated|divider|divider|StageOut[139]~572_combout\ # 
-- !\u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\ & \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[3]~2_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111010000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[3]~2_combout\,
        datac => \u5|Div0|auto_generated|divider|divider|StageOut[139]~572_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|op_9~33_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[148]~575_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[147]~576\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[147]~576_combout\ = \u5|Div0|auto_generated|divider|divider|op_9~33_combout\ & (\u5|Div0|auto_generated|divider|divider|StageOut[138]~591_combout\ # 
-- !\u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\ & \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[2]~0_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1011101000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[138]~591_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\,
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[2]~0_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|op_9~33_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[147]~576_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[70]~534\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[70]~534_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\ & (\u6|Div0|auto_generated|divider|divider|StageOut[61]~578_combout\ # 
-- !\u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\ & \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[5]~6_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1011101000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[61]~578_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\,
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[5]~6_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[70]~534_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[68]~536\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[68]~536_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\ & (\u6|Div0|auto_generated|divider|divider|StageOut[59]~580_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[3]~2_combout\ & !\u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111001000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[3]~2_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\,
        datac => \u6|Div0|auto_generated|divider|divider|StageOut[59]~580_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[68]~536_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[78]~537\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[78]~537_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\ & (\u6|Div0|auto_generated|divider|divider|StageOut[69]~535_combout\ # 
-- !\u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\ & \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[5]~6_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111010000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[5]~6_combout\,
        datac => \u6|Div0|auto_generated|divider|divider|StageOut[69]~535_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[78]~537_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[77]~538\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[77]~538_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\ & (\u6|Div0|auto_generated|divider|divider|StageOut[68]~536_combout\ # 
-- !\u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\ & \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[4]~4_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1101110000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|StageOut[68]~536_combout\,
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[4]~4_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[77]~538_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[86]~541\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[86]~541_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\ & (\u6|Div0|auto_generated|divider|divider|StageOut[77]~538_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[5]~6_combout\ & !\u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111001000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[5]~6_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\,
        datac => \u6|Div0|auto_generated|divider|divider|StageOut[77]~538_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[86]~541_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[84]~543\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[84]~543_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\ & (\u6|Div0|auto_generated|divider|divider|StageOut[75]~540_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[3]~2_combout\ & !\u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111001000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[3]~2_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\,
        datac => \u6|Div0|auto_generated|divider|divider|StageOut[75]~540_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[84]~543_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[102]~549\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[102]~549_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\ & (\u6|Div0|auto_generated|divider|divider|StageOut[93]~546_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[5]~6_combout\ & !\u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010111000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[93]~546_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[5]~6_combout\,
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[102]~549_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[100]~551\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[100]~551_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\ & (\u6|Div0|auto_generated|divider|divider|StageOut[91]~548_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[3]~2_combout\ & !\u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000100000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[3]~2_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\,
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|StageOut[91]~548_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[100]~551_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[99]~552\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[99]~552_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\ & (\u6|Div0|auto_generated|divider|divider|StageOut[90]~585_combout\ # 
-- !\u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\ & \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[2]~0_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1011000010100000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[90]~585_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\,
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[2]~0_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[99]~552_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[109]~554\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[109]~554_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\ & (\u6|Div0|auto_generated|divider|divider|StageOut[100]~551_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[4]~4_combout\ & !\u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010111000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[100]~551_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[4]~4_combout\,
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[109]~554_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[108]~555\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[108]~555_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\ & (\u6|Div0|auto_generated|divider|divider|StageOut[99]~552_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[3]~2_combout\ & !\u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100111000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[3]~2_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|StageOut[99]~552_combout\,
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[108]~555_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[107]~556\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[107]~556_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\ & (\u6|Div0|auto_generated|divider|divider|StageOut[98]~586_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[2]~0_combout\ & !\u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000100000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[2]~0_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\,
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|StageOut[98]~586_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[107]~556_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[118]~557\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[118]~557_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\ & (\u6|Div0|auto_generated|divider|divider|StageOut[109]~554_combout\ # 
-- !\u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\ & \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[5]~6_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1011101000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[109]~554_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\,
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[5]~6_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[118]~557_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[117]~558\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[117]~558_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\ & (\u6|Div0|auto_generated|divider|divider|StageOut[108]~555_combout\ # 
-- !\u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\ & \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[4]~4_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111010000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[4]~4_combout\,
        datac => \u6|Div0|auto_generated|divider|divider|StageOut[108]~555_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[117]~558_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[116]~559\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[116]~559_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\ & (\u6|Div0|auto_generated|divider|divider|StageOut[107]~556_combout\ # 
-- !\u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\ & \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[3]~2_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111010000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[3]~2_combout\,
        datac => \u6|Div0|auto_generated|divider|divider|StageOut[107]~556_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[116]~559_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[126]~561\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[126]~561_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\ & (\u6|Div0|auto_generated|divider|divider|StageOut[117]~558_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[5]~6_combout\ & !\u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111001000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[5]~6_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\,
        datac => \u6|Div0|auto_generated|divider|divider|StageOut[117]~558_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[126]~561_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[125]~562\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[125]~562_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\ & (\u6|Div0|auto_generated|divider|divider|StageOut[116]~559_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[4]~4_combout\ & !\u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100111000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[4]~4_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|StageOut[116]~559_combout\,
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[125]~562_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[123]~564\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[123]~564_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\ & (\u6|Div0|auto_generated|divider|divider|StageOut[114]~588_combout\ # 
-- !\u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\ & \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[2]~0_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111010000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[2]~0_combout\,
        datac => \u6|Div0|auto_generated|divider|divider|StageOut[114]~588_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[123]~564_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[134]~565\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[134]~565_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\ & (\u6|Div0|auto_generated|divider|divider|StageOut[125]~562_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[5]~6_combout\ & !\u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111001000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[5]~6_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\,
        datac => \u6|Div0|auto_generated|divider|divider|StageOut[125]~562_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[134]~565_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[132]~567\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[132]~567_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\ & (\u6|Div0|auto_generated|divider|divider|StageOut[123]~564_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[3]~2_combout\ & !\u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111001000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[3]~2_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\,
        datac => \u6|Div0|auto_generated|divider|divider|StageOut[123]~564_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[132]~567_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[131]~568\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[131]~568_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\ & (\u6|Div0|auto_generated|divider|divider|StageOut[122]~589_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[2]~0_combout\ & !\u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010111000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[122]~589_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[2]~0_combout\,
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[131]~568_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[141]~570\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[141]~570_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\ & (\u6|Div0|auto_generated|divider|divider|StageOut[132]~567_combout\ # 
-- !\u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\ & \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[4]~4_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111010000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[4]~4_combout\,
        datac => \u6|Div0|auto_generated|divider|divider|StageOut[132]~567_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[141]~570_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[140]~571\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[140]~571_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\ & (\u6|Div0|auto_generated|divider|divider|StageOut[131]~568_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[3]~2_combout\ & !\u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111001000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[3]~2_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\,
        datac => \u6|Div0|auto_generated|divider|divider|StageOut[131]~568_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[140]~571_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[150]~573\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[150]~573_combout\ = \u6|Div0|auto_generated|divider|divider|op_9~33_combout\ & (\u6|Div0|auto_generated|divider|divider|StageOut[141]~570_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[5]~6_combout\ & !\u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100111000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[5]~6_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|StageOut[141]~570_combout\,
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|op_9~33_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[150]~573_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[149]~574\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[149]~574_combout\ = \u6|Div0|auto_generated|divider|divider|op_9~33_combout\ & (\u6|Div0|auto_generated|divider|divider|StageOut[140]~571_combout\ # 
-- !\u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\ & \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[4]~4_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000001000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[4]~4_combout\,
        datac => \u6|Div0|auto_generated|divider|divider|op_9~33_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|StageOut[140]~571_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[149]~574_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[148]~575\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[148]~575_combout\ = \u6|Div0|auto_generated|divider|divider|op_9~33_combout\ & (\u6|Div0|auto_generated|divider|divider|StageOut[139]~572_combout\ # 
-- !\u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\ & \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[3]~2_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1101110000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|StageOut[139]~572_combout\,
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[3]~2_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|op_9~33_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[148]~575_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[147]~576\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[147]~576_combout\ = \u6|Div0|auto_generated|divider|divider|op_9~33_combout\ & (\u6|Div0|auto_generated|divider|divider|StageOut[138]~591_combout\ # 
-- !\u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\ & \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[2]~0_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000001000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[2]~0_combout\,
        datac => \u6|Div0|auto_generated|divider|divider|op_9~33_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|StageOut[138]~591_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[147]~576_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[62]~577\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[62]~577_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\ & (\u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\ & 
-- \u5|Mult0|auto_generated|mac_out2~DATAOUT18\ # !\u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\ & (\u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[5]~6_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1101000010000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\,
        datab => \u5|Mult0|auto_generated|mac_out2~DATAOUT18\,
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[5]~6_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[62]~577_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[61]~578\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[61]~578_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\ & (\u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\ & 
-- \u5|Mult0|auto_generated|mac_out2~DATAOUT17\ # !\u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\ & (\u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[4]~4_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010000011000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Mult0|auto_generated|mac_out2~DATAOUT17\,
        datab => \u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[4]~4_combout\,
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[61]~578_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[66]~582\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[66]~582_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\ & (\u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\ & 
-- (\u5|Mult0|auto_generated|mac_out2~DATAOUT13\) # !\u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\ & \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[1]~14_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100101000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[1]~14_combout\,
        datab => \u5|Mult0|auto_generated|mac_out2~DATAOUT13\,
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[66]~582_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[74]~583\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[74]~583_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\ & (\u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\ & 
-- \u5|Mult0|auto_generated|mac_out2~DATAOUT12\ # !\u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\ & (\u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[1]~14_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1011100000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Mult0|auto_generated|mac_out2~DATAOUT12\,
        datab => \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\,
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[1]~14_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[74]~583_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[82]~584\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[82]~584_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\ & (\u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\ & 
-- (\u5|Mult0|auto_generated|mac_out2~DATAOUT11\) # !\u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\ & \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[1]~14_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100101000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[1]~14_combout\,
        datab => \u5|Mult0|auto_generated|mac_out2~DATAOUT11\,
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[82]~584_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[114]~588\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[114]~588_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\ & (\u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\ & 
-- (\u5|Mult0|auto_generated|mac_out2~DATAOUT7\) # !\u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\ & \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[1]~14_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100101000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[1]~14_combout\,
        datab => \u5|Mult0|auto_generated|mac_out2~DATAOUT7\,
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[114]~588_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[122]~589\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[122]~589_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\ & (\u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\ & 
-- \u5|Mult0|auto_generated|mac_out2~DATAOUT6\ # !\u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\ & (\u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[1]~14_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1011100000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Mult0|auto_generated|mac_out2~DATAOUT6\,
        datab => \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\,
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[1]~14_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[122]~589_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[130]~590\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[130]~590_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\ & (\u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\ & 
-- \u5|Mult0|auto_generated|mac_out2~DATAOUT5\ # !\u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\ & (\u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[1]~14_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010110000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Mult0|auto_generated|mac_out2~DATAOUT5\,
        datab => \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[1]~14_combout\,
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[130]~590_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[138]~591\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[138]~591_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\ & (\u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\ & 
-- \u5|Mult0|auto_generated|mac_out2~DATAOUT4\ # !\u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\ & (\u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[1]~14_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1011000010000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Mult0|auto_generated|mac_out2~DATAOUT4\,
        datab => \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\,
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[1]~14_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[138]~591_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[62]~577\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[62]~577_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\ & (\u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\ & 
-- \u6|Mult0|auto_generated|mac_out2~DATAOUT18\ # !\u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\ & (\u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[5]~6_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1011100000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Mult0|auto_generated|mac_out2~DATAOUT18\,
        datab => \u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\,
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[5]~6_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[62]~577_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[59]~580\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[59]~580_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\ & (\u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\ & 
-- (\u6|Mult0|auto_generated|mac_out2~DATAOUT15\) # !\u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\ & \u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[2]~0_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100101000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[2]~0_combout\,
        datab => \u6|Mult0|auto_generated|mac_out2~DATAOUT15\,
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[59]~580_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[98]~586\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[98]~586_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\ & (\u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\ & 
-- \u6|Mult0|auto_generated|mac_out2~DATAOUT9\ # !\u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\ & (\u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[1]~14_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1011100000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Mult0|auto_generated|mac_out2~DATAOUT9\,
        datab => \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\,
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[1]~14_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[98]~586_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[114]~588\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[114]~588_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\ & (\u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\ & 
-- \u6|Mult0|auto_generated|mac_out2~DATAOUT7\ # !\u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\ & (\u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[1]~14_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1101100000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\,
        datab => \u6|Mult0|auto_generated|mac_out2~DATAOUT7\,
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[1]~14_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[114]~588_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[122]~589\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[122]~589_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\ & (\u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\ & 
-- \u6|Mult0|auto_generated|mac_out2~DATAOUT6\ # !\u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\ & (\u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[1]~14_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1101100000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\,
        datab => \u6|Mult0|auto_generated|mac_out2~DATAOUT6\,
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[1]~14_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[122]~589_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[146]~592\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[146]~592_combout\ = \u6|Div0|auto_generated|divider|divider|op_9~33_combout\ & (\u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\ & \u6|Mult0|auto_generated|mac_out2~DATAOUT3\ # 
-- !\u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\ & (\u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[1]~14_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1011100000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Mult0|auto_generated|mac_out2~DATAOUT3\,
        datab => \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\,
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[1]~14_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|op_9~33_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[146]~592_combout\);

\u5|PCM_Data_Difference[11]~4\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|PCM_Data_Difference[11]~4_combout\ = !\u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011111111",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\,
        combout => \u5|PCM_Data_Difference[11]~4_combout\);

\u5|PCM_Data_Difference[9]~6\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|PCM_Data_Difference[9]~6_combout\ = !\u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111100001111",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\,
        combout => \u5|PCM_Data_Difference[9]~6_combout\);

\u5|PCM_Data_Difference[7]~8\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|PCM_Data_Difference[7]~8_combout\ = !\u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111100001111",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\,
        combout => \u5|PCM_Data_Difference[7]~8_combout\);

\u5|PCM_Data_Difference[6]~9\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|PCM_Data_Difference[6]~9_combout\ = !\u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111100001111",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\,
        combout => \u5|PCM_Data_Difference[6]~9_combout\);

\u5|PCM_Data_Difference[5]~10\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|PCM_Data_Difference[5]~10_combout\ = !\u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011111111",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\,
        combout => \u5|PCM_Data_Difference[5]~10_combout\);

\u5|PCM_Data_Difference[4]~11\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|PCM_Data_Difference[4]~11_combout\ = !\u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111100001111",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\,
        combout => \u5|PCM_Data_Difference[4]~11_combout\);

\u6|PCM_Data_Difference[4]~3\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|PCM_Data_Difference[4]~3_combout\ = !\u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111100001111",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\,
        combout => \u6|PCM_Data_Difference[4]~3_combout\);

\u6|PCM_Data_Difference[5]~4\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|PCM_Data_Difference[5]~4_combout\ = !\u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011111111",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\,
        combout => \u6|PCM_Data_Difference[5]~4_combout\);

\u6|PCM_Data_Difference[6]~5\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|PCM_Data_Difference[6]~5_combout\ = !\u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011111111",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\,
        combout => \u6|PCM_Data_Difference[6]~5_combout\);

\u6|PCM_Data_Difference[7]~6\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|PCM_Data_Difference[7]~6_combout\ = !\u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111100001111",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\,
        combout => \u6|PCM_Data_Difference[7]~6_combout\);

\u6|PCM_Data_Difference[8]~7\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|PCM_Data_Difference[8]~7_combout\ = !\u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011111111",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\,
        combout => \u6|PCM_Data_Difference[8]~7_combout\);

\u6|PCM_Data_Difference[9]~8\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|PCM_Data_Difference[9]~8_combout\ = !\u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011111111",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\,
        combout => \u6|PCM_Data_Difference[9]~8_combout\);

\u6|PCM_Data_Difference[11]~10\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|PCM_Data_Difference[11]~10_combout\ = !\u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111100001111",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\,
        combout => \u6|PCM_Data_Difference[11]~10_combout\);

\KEY_0~I\ : cycloneii_io
-- pragma translate_off
GENERIC MAP (
        input_async_reset => "none",
        input_power_up => "low",
        input_register_mode => "none",
        input_sync_reset => "none",
        oe_async_reset => "none",
        oe_power_up => "low",
        oe_register_mode => "none",
        oe_sync_reset => "none",
        operation_mode => "input",
        output_async_reset => "none",
        output_power_up => "low",
        output_register_mode => "none",
        output_sync_reset => "none")
-- pragma translate_on
PORT MAP (
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        devoe => ww_devoe,
        oe => GND,
        padio => ww_KEY_0,
        combout => \KEY_0~combout\);

\u3|I2S_PCM_DATA_ACCESS_OUT~clkctrl\ : cycloneii_clkctrl
-- pragma translate_off
GENERIC MAP (
        clock_type => "global clock",
        ena_register_mode => "falling edge")
-- pragma translate_on
PORT MAP (
        inclk => \u3|I2S_PCM_DATA_ACCESS_OUT~clkctrl_INCLK_bus\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        outclk => \u3|I2S_PCM_DATA_ACCESS_OUT~clkctrl_outclk\);

\u4|FLASH_MEMORY_DATA_OUT[7]~feeder\ : cycloneii_lcell_comb
-- Equation(s):
-- \u4|FLASH_MEMORY_DATA_OUT[7]~feeder_combout\ = \FLASH_MEMORY_DATA_INOUT[7]~0\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datad => \FLASH_MEMORY_DATA_INOUT[7]~0\,
        combout => \u4|FLASH_MEMORY_DATA_OUT[7]~feeder_combout\);

\FLASH_MEMORY_DATA_INOUT[0]~I\ : cycloneii_io
-- pragma translate_off
GENERIC MAP (
        input_async_reset => "none",
        input_power_up => "low",
        input_register_mode => "none",
        input_sync_reset => "none",
        oe_async_reset => "none",
        oe_power_up => "low",
        oe_register_mode => "none",
        oe_sync_reset => "none",
        open_drain_output => "true",
        operation_mode => "bidir",
        output_async_reset => "none",
        output_power_up => "low",
        output_register_mode => "none",
        output_sync_reset => "none")
-- pragma translate_on
PORT MAP (
        datain => VCC,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        devoe => ww_devoe,
        oe => VCC,
        padio => FLASH_MEMORY_DATA_INOUT(0),
        combout => \FLASH_MEMORY_DATA_INOUT[0]~7\);

\FLASH_MEMORY_DATA_INOUT[1]~I\ : cycloneii_io
-- pragma translate_off
GENERIC MAP (
        input_async_reset => "none",
        input_power_up => "low",
        input_register_mode => "none",
        input_sync_reset => "none",
        oe_async_reset => "none",
        oe_power_up => "low",
        oe_register_mode => "none",
        oe_sync_reset => "none",
        open_drain_output => "true",
        operation_mode => "bidir",
        output_async_reset => "none",
        output_power_up => "low",
        output_register_mode => "none",
        output_sync_reset => "none")
-- pragma translate_on
PORT MAP (
        datain => VCC,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        devoe => ww_devoe,
        oe => VCC,
        padio => FLASH_MEMORY_DATA_INOUT(1),
        combout => \FLASH_MEMORY_DATA_INOUT[1]~6\);

\FLASH_MEMORY_DATA_INOUT[2]~I\ : cycloneii_io
-- pragma translate_off
GENERIC MAP (
        input_async_reset => "none",
        input_power_up => "low",
        input_register_mode => "none",
        input_sync_reset => "none",
        oe_async_reset => "none",
        oe_power_up => "low",
        oe_register_mode => "none",
        oe_sync_reset => "none",
        open_drain_output => "true",
        operation_mode => "bidir",
        output_async_reset => "none",
        output_power_up => "low",
        output_register_mode => "none",
        output_sync_reset => "none")
-- pragma translate_on
PORT MAP (
        datain => VCC,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        devoe => ww_devoe,
        oe => VCC,
        padio => FLASH_MEMORY_DATA_INOUT(2),
        combout => \FLASH_MEMORY_DATA_INOUT[2]~5\);

\FLASH_MEMORY_DATA_INOUT[3]~I\ : cycloneii_io
-- pragma translate_off
GENERIC MAP (
        input_async_reset => "none",
        input_power_up => "low",
        input_register_mode => "none",
        input_sync_reset => "none",
        oe_async_reset => "none",
        oe_power_up => "low",
        oe_register_mode => "none",
        oe_sync_reset => "none",
        open_drain_output => "true",
        operation_mode => "bidir",
        output_async_reset => "none",
        output_power_up => "low",
        output_register_mode => "none",
        output_sync_reset => "none")
-- pragma translate_on
PORT MAP (
        datain => VCC,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        devoe => ww_devoe,
        oe => VCC,
        padio => FLASH_MEMORY_DATA_INOUT(3),
        combout => \FLASH_MEMORY_DATA_INOUT[3]~4\);

\FLASH_MEMORY_DATA_INOUT[4]~I\ : cycloneii_io
-- pragma translate_off
GENERIC MAP (
        input_async_reset => "none",
        input_power_up => "low",
        input_register_mode => "none",
        input_sync_reset => "none",
        oe_async_reset => "none",
        oe_power_up => "low",
        oe_register_mode => "none",
        oe_sync_reset => "none",
        open_drain_output => "true",
        operation_mode => "bidir",
        output_async_reset => "none",
        output_power_up => "low",
        output_register_mode => "none",
        output_sync_reset => "none")
-- pragma translate_on
PORT MAP (
        datain => VCC,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        devoe => ww_devoe,
        oe => VCC,
        padio => FLASH_MEMORY_DATA_INOUT(4),
        combout => \FLASH_MEMORY_DATA_INOUT[4]~3\);

\FLASH_MEMORY_DATA_INOUT[5]~I\ : cycloneii_io
-- pragma translate_off
GENERIC MAP (
        input_async_reset => "none",
        input_power_up => "low",
        input_register_mode => "none",
        input_sync_reset => "none",
        oe_async_reset => "none",
        oe_power_up => "low",
        oe_register_mode => "none",
        oe_sync_reset => "none",
        open_drain_output => "true",
        operation_mode => "bidir",
        output_async_reset => "none",
        output_power_up => "low",
        output_register_mode => "none",
        output_sync_reset => "none")
-- pragma translate_on
PORT MAP (
        datain => VCC,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        devoe => ww_devoe,
        oe => VCC,
        padio => FLASH_MEMORY_DATA_INOUT(5),
        combout => \FLASH_MEMORY_DATA_INOUT[5]~2\);

\FLASH_MEMORY_DATA_INOUT[6]~I\ : cycloneii_io
-- pragma translate_off
GENERIC MAP (
        input_async_reset => "none",
        input_power_up => "low",
        input_register_mode => "none",
        input_sync_reset => "none",
        oe_async_reset => "none",
        oe_power_up => "low",
        oe_register_mode => "none",
        oe_sync_reset => "none",
        open_drain_output => "true",
        operation_mode => "bidir",
        output_async_reset => "none",
        output_power_up => "low",
        output_register_mode => "none",
        output_sync_reset => "none")
-- pragma translate_on
PORT MAP (
        datain => VCC,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        devoe => ww_devoe,
        oe => VCC,
        padio => FLASH_MEMORY_DATA_INOUT(6),
        combout => \FLASH_MEMORY_DATA_INOUT[6]~1\);

\FLASH_MEMORY_DATA_INOUT[7]~I\ : cycloneii_io
-- pragma translate_off
GENERIC MAP (
        input_async_reset => "none",
        input_power_up => "low",
        input_register_mode => "none",
        input_sync_reset => "none",
        oe_async_reset => "none",
        oe_power_up => "low",
        oe_register_mode => "none",
        oe_sync_reset => "none",
        open_drain_output => "true",
        operation_mode => "bidir",
        output_async_reset => "none",
        output_power_up => "low",
        output_register_mode => "none",
        output_sync_reset => "none")
-- pragma translate_on
PORT MAP (
        datain => VCC,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        devoe => ww_devoe,
        oe => VCC,
        padio => FLASH_MEMORY_DATA_INOUT(7),
        combout => \FLASH_MEMORY_DATA_INOUT[7]~0\);

\CLOCK_IN~I\ : cycloneii_io
-- pragma translate_off
GENERIC MAP (
        input_async_reset => "none",
        input_power_up => "low",
        input_register_mode => "none",
        input_sync_reset => "none",
        oe_async_reset => "none",
        oe_power_up => "low",
        oe_register_mode => "none",
        oe_sync_reset => "none",
        operation_mode => "input",
        output_async_reset => "none",
        output_power_up => "low",
        output_register_mode => "none",
        output_sync_reset => "none")
-- pragma translate_on
PORT MAP (
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        devoe => ww_devoe,
        oe => GND,
        padio => ww_CLOCK_IN,
        combout => \CLOCK_IN~combout\);

\CLOCK_IN~clkctrl\ : cycloneii_clkctrl
-- pragma translate_off
GENERIC MAP (
        clock_type => "global clock",
        ena_register_mode => "falling edge")
-- pragma translate_on
PORT MAP (
        inclk => \CLOCK_IN~clkctrl_INCLK_bus\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        outclk => \CLOCK_IN~clkctrl_outclk\);

\u2|I2C_Stream_Counter[5]~31\ : cycloneii_lcell_comb
-- Equation(s):
-- \u2|I2C_Stream_Counter[5]~31_combout\ = \u2|I2C_Stream_Counter\(5) & !\u2|I2C_Stream_Counter[4]~30\ # !\u2|I2C_Stream_Counter\(5) & (\u2|I2C_Stream_Counter[4]~30\ # GND)
-- \u2|I2C_Stream_Counter[5]~32\ = CARRY(!\u2|I2C_Stream_Counter[4]~30\ # !\u2|I2C_Stream_Counter\(5))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110000111111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u2|I2C_Stream_Counter\(5),
        datad => VCC,
        cin => \u2|I2C_Stream_Counter[4]~30\,
        combout => \u2|I2C_Stream_Counter[5]~31_combout\,
        cout => \u2|I2C_Stream_Counter[5]~32\);

\u2|I2C_Stream_Counter[6]~33\ : cycloneii_lcell_comb
-- Equation(s):
-- \u2|I2C_Stream_Counter[6]~33_combout\ = \u2|I2C_Stream_Counter[5]~32\ $ !\u2|I2C_Stream_Counter\(6)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000001111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datad => \u2|I2C_Stream_Counter\(6),
        cin => \u2|I2C_Stream_Counter[5]~32\,
        combout => \u2|I2C_Stream_Counter[6]~33_combout\);

\I2C_ACTIVE_IN~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \I2C_ACTIVE_IN~0_combout\ = !\I2C_ACTIVE_IN~regout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111100001111",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \I2C_ACTIVE_IN~regout\,
        combout => \I2C_ACTIVE_IN~0_combout\);

\Add6~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \Add6~0_combout\ = Counter(0) $ VCC
-- \Add6~1\ = CARRY(Counter(0))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011001111001100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => Counter(0),
        datad => VCC,
        combout => \Add6~0_combout\,
        cout => \Add6~1\);

\Counter[0]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \Add6~0_combout\,
        sclr => \Equal1~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => Counter(0));

\Add6~6\ : cycloneii_lcell_comb
-- Equation(s):
-- \Add6~6_combout\ = Counter(3) & !\Add6~5\ # !Counter(3) & (\Add6~5\ # GND)
-- \Add6~7\ = CARRY(!\Add6~5\ # !Counter(3))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110000111111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => Counter(3),
        datad => VCC,
        cin => \Add6~5\,
        combout => \Add6~6_combout\,
        cout => \Add6~7\);

\Counter[3]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \Add6~6_combout\,
        sclr => \Equal1~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => Counter(3));

\Add6~8\ : cycloneii_lcell_comb
-- Equation(s):
-- \Add6~8_combout\ = Counter(4) & (\Add6~7\ $ GND) # !Counter(4) & !\Add6~7\ & VCC
-- \Add6~9\ = CARRY(Counter(4) & !\Add6~7\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010010100001010",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => Counter(4),
        datad => VCC,
        cin => \Add6~7\,
        combout => \Add6~8_combout\,
        cout => \Add6~9\);

\Add6~10\ : cycloneii_lcell_comb
-- Equation(s):
-- \Add6~10_combout\ = Counter(5) & !\Add6~9\ # !Counter(5) & (\Add6~9\ # GND)
-- \Add6~11\ = CARRY(!\Add6~9\ # !Counter(5))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110000111111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => Counter(5),
        datad => VCC,
        cin => \Add6~9\,
        combout => \Add6~10_combout\,
        cout => \Add6~11\);

\Counter[5]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \Add6~10_combout\,
        sclr => \Equal1~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => Counter(5));

\Add6~14\ : cycloneii_lcell_comb
-- Equation(s):
-- \Add6~14_combout\ = Counter(7) & !\Add6~13\ # !Counter(7) & (\Add6~13\ # GND)
-- \Add6~15\ = CARRY(!\Add6~13\ # !Counter(7))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110000111111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => Counter(7),
        datad => VCC,
        cin => \Add6~13\,
        combout => \Add6~14_combout\,
        cout => \Add6~15\);

\Counter[7]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \Add6~14_combout\,
        sclr => \Equal1~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => Counter(7));

\Add6~16\ : cycloneii_lcell_comb
-- Equation(s):
-- \Add6~16_combout\ = Counter(8) & (\Add6~15\ $ GND) # !Counter(8) & !\Add6~15\ & VCC
-- \Add6~17\ = CARRY(Counter(8) & !\Add6~15\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010010100001010",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => Counter(8),
        datad => VCC,
        cin => \Add6~15\,
        combout => \Add6~16_combout\,
        cout => \Add6~17\);

\Add6~18\ : cycloneii_lcell_comb
-- Equation(s):
-- \Add6~18_combout\ = Counter(9) & !\Add6~17\ # !Counter(9) & (\Add6~17\ # GND)
-- \Add6~19\ = CARRY(!\Add6~17\ # !Counter(9))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110000111111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => Counter(9),
        datad => VCC,
        cin => \Add6~17\,
        combout => \Add6~18_combout\,
        cout => \Add6~19\);

\Counter[9]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \Add6~18_combout\,
        sclr => \Equal1~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => Counter(9));

\Add6~20\ : cycloneii_lcell_comb
-- Equation(s):
-- \Add6~20_combout\ = Counter(10) & (\Add6~19\ $ GND) # !Counter(10) & !\Add6~19\ & VCC
-- \Add6~21\ = CARRY(Counter(10) & !\Add6~19\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100001100001100",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => Counter(10),
        datad => VCC,
        cin => \Add6~19\,
        combout => \Add6~20_combout\,
        cout => \Add6~21\);

\Counter[10]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \Add6~20_combout\,
        sclr => \Equal1~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => Counter(10));

\Add6~22\ : cycloneii_lcell_comb
-- Equation(s):
-- \Add6~22_combout\ = Counter(11) & !\Add6~21\ # !Counter(11) & (\Add6~21\ # GND)
-- \Add6~23\ = CARRY(!\Add6~21\ # !Counter(11))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110000111111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => Counter(11),
        datad => VCC,
        cin => \Add6~21\,
        combout => \Add6~22_combout\,
        cout => \Add6~23\);

\Counter[11]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \Add6~22_combout\,
        sclr => \Equal1~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => Counter(11));

\Add6~24\ : cycloneii_lcell_comb
-- Equation(s):
-- \Add6~24_combout\ = Counter(12) & (\Add6~23\ $ GND) # !Counter(12) & !\Add6~23\ & VCC
-- \Add6~25\ = CARRY(Counter(12) & !\Add6~23\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100001100001100",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => Counter(12),
        datad => VCC,
        cin => \Add6~23\,
        combout => \Add6~24_combout\,
        cout => \Add6~25\);

\Counter[12]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \Add6~24_combout\,
        sclr => \Equal1~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => Counter(12));

\Add6~26\ : cycloneii_lcell_comb
-- Equation(s):
-- \Add6~26_combout\ = Counter(13) & !\Add6~25\ # !Counter(13) & (\Add6~25\ # GND)
-- \Add6~27\ = CARRY(!\Add6~25\ # !Counter(13))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110000111111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => Counter(13),
        datad => VCC,
        cin => \Add6~25\,
        combout => \Add6~26_combout\,
        cout => \Add6~27\);

\Counter[13]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \Add6~26_combout\,
        sclr => \Equal1~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => Counter(13));

\Add6~28\ : cycloneii_lcell_comb
-- Equation(s):
-- \Add6~28_combout\ = Counter(14) & (\Add6~27\ $ GND) # !Counter(14) & !\Add6~27\ & VCC
-- \Add6~29\ = CARRY(Counter(14) & !\Add6~27\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100001100001100",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => Counter(14),
        datad => VCC,
        cin => \Add6~27\,
        combout => \Add6~28_combout\,
        cout => \Add6~29\);

\Counter[14]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \Add6~28_combout\,
        sclr => \Equal1~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => Counter(14));

\Add6~32\ : cycloneii_lcell_comb
-- Equation(s):
-- \Add6~32_combout\ = Counter(16) & (\Add6~31\ $ GND) # !Counter(16) & !\Add6~31\ & VCC
-- \Add6~33\ = CARRY(Counter(16) & !\Add6~31\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100001100001100",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => Counter(16),
        datad => VCC,
        cin => \Add6~31\,
        combout => \Add6~32_combout\,
        cout => \Add6~33\);

\Counter[16]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \Add6~32_combout\,
        sclr => \Equal1~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => Counter(16));

\Add6~36\ : cycloneii_lcell_comb
-- Equation(s):
-- \Add6~36_combout\ = Counter(18) & (\Add6~35\ $ GND) # !Counter(18) & !\Add6~35\ & VCC
-- \Add6~37\ = CARRY(Counter(18) & !\Add6~35\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010010100001010",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => Counter(18),
        datad => VCC,
        cin => \Add6~35\,
        combout => \Add6~36_combout\,
        cout => \Add6~37\);

\Counter[18]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \Add6~36_combout\,
        sclr => \Equal1~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => Counter(18));

\Add6~38\ : cycloneii_lcell_comb
-- Equation(s):
-- \Add6~38_combout\ = Counter(19) & !\Add6~37\ # !Counter(19) & (\Add6~37\ # GND)
-- \Add6~39\ = CARRY(!\Add6~37\ # !Counter(19))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110000111111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => Counter(19),
        datad => VCC,
        cin => \Add6~37\,
        combout => \Add6~38_combout\,
        cout => \Add6~39\);

\Counter[19]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \Add6~38_combout\,
        sclr => \Equal1~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => Counter(19));

\Equal1~6\ : cycloneii_lcell_comb
-- Equation(s):
-- \Equal1~6_combout\ = Counter(2) & Counter(18) & Counter(19) & Counter(7)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1000000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => Counter(2),
        datab => Counter(18),
        datac => Counter(19),
        datad => Counter(7),
        combout => \Equal1~6_combout\);

\Add6~42\ : cycloneii_lcell_comb
-- Equation(s):
-- \Add6~42_combout\ = Counter(21) & !\Add6~41\ # !Counter(21) & (\Add6~41\ # GND)
-- \Add6~43\ = CARRY(!\Add6~41\ # !Counter(21))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110000111111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => Counter(21),
        datad => VCC,
        cin => \Add6~41\,
        combout => \Add6~42_combout\,
        cout => \Add6~43\);

\Counter[21]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \Add6~42_combout\,
        sclr => \Equal1~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => Counter(21));

\Add6~44\ : cycloneii_lcell_comb
-- Equation(s):
-- \Add6~44_combout\ = Counter(22) & (\Add6~43\ $ GND) # !Counter(22) & !\Add6~43\ & VCC
-- \Add6~45\ = CARRY(Counter(22) & !\Add6~43\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010010100001010",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => Counter(22),
        datad => VCC,
        cin => \Add6~43\,
        combout => \Add6~44_combout\,
        cout => \Add6~45\);

\Add6~46\ : cycloneii_lcell_comb
-- Equation(s):
-- \Add6~46_combout\ = Counter(23) & !\Add6~45\ # !Counter(23) & (\Add6~45\ # GND)
-- \Add6~47\ = CARRY(!\Add6~45\ # !Counter(23))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110000111111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => Counter(23),
        datad => VCC,
        cin => \Add6~45\,
        combout => \Add6~46_combout\,
        cout => \Add6~47\);

\Counter[23]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \Add6~46_combout\,
        sclr => \Equal1~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => Counter(23));

\Add6~48\ : cycloneii_lcell_comb
-- Equation(s):
-- \Add6~48_combout\ = Counter(24) $ !\Add6~47\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010010110100101",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => Counter(24),
        cin => \Add6~47\,
        combout => \Add6~48_combout\);

\Counter[24]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \Add6~48_combout\,
        sclr => \Equal1~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => Counter(24));

\Counter[22]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \Add6~44_combout\,
        sclr => \Equal1~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => Counter(22));

\Equal1~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \Equal1~0_combout\ = !Counter(23) & Counter(21) & !Counter(24) & !Counter(22)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000000000100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => Counter(23),
        datab => Counter(21),
        datac => Counter(24),
        datad => Counter(22),
        combout => \Equal1~0_combout\);

\Counter[4]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \Add6~8_combout\,
        sclr => \Equal1~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => Counter(4));

\Equal1~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \Equal1~2_combout\ = Counter(1) & Counter(3) & Counter(4) & Counter(0)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1000000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => Counter(1),
        datab => Counter(3),
        datac => Counter(4),
        datad => Counter(0),
        combout => \Equal1~2_combout\);

\Counter[8]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \Add6~16_combout\,
        sclr => \Equal1~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => Counter(8));

\Equal1~3\ : cycloneii_lcell_comb
-- Equation(s):
-- \Equal1~3_combout\ = Counter(9) & !Counter(12) & !Counter(11) & !Counter(8)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000000000010",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => Counter(9),
        datab => Counter(12),
        datac => Counter(11),
        datad => Counter(8),
        combout => \Equal1~3_combout\);

\Equal1~4\ : cycloneii_lcell_comb
-- Equation(s):
-- \Equal1~4_combout\ = \Equal1~1_combout\ & \Equal1~0_combout\ & \Equal1~2_combout\ & \Equal1~3_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1000000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \Equal1~1_combout\,
        datab => \Equal1~0_combout\,
        datac => \Equal1~2_combout\,
        datad => \Equal1~3_combout\,
        combout => \Equal1~4_combout\);

\Equal1~5\ : cycloneii_lcell_comb
-- Equation(s):
-- \Equal1~5_combout\ = Counter(15) & Counter(14) & Counter(16) & !Counter(13)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000010000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => Counter(15),
        datab => Counter(14),
        datac => Counter(16),
        datad => Counter(13),
        combout => \Equal1~5_combout\);

\Equal1~7\ : cycloneii_lcell_comb
-- Equation(s):
-- \Equal1~7_combout\ = !Counter(20) & \Equal1~6_combout\ & \Equal1~4_combout\ & \Equal1~5_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0100000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => Counter(20),
        datab => \Equal1~6_combout\,
        datac => \Equal1~4_combout\,
        datad => \Equal1~5_combout\,
        combout => \Equal1~7_combout\);

I2C_ACTIVE_IN : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \I2C_ACTIVE_IN~0_combout\,
        ena => \Equal1~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \I2C_ACTIVE_IN~regout\);

\u2|Add1~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u2|Add1~0_combout\ = \u2|Counter\(0) $ VCC
-- \u2|Add1~1\ = CARRY(\u2|Counter\(0))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011001111001100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u2|Counter\(0),
        datad => VCC,
        combout => \u2|Add1~0_combout\,
        cout => \u2|Add1~1\);

\u2|Counter[0]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u2|Add1~0_combout\,
        sclr => \u2|Equal0~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u2|Counter\(0));

\u2|Add1~4\ : cycloneii_lcell_comb
-- Equation(s):
-- \u2|Add1~4_combout\ = \u2|Counter\(2) & (\u2|Add1~3\ $ GND) # !\u2|Counter\(2) & !\u2|Add1~3\ & VCC
-- \u2|Add1~5\ = CARRY(\u2|Counter\(2) & !\u2|Add1~3\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010010100001010",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u2|Counter\(2),
        datad => VCC,
        cin => \u2|Add1~3\,
        combout => \u2|Add1~4_combout\,
        cout => \u2|Add1~5\);

\u2|Add1~6\ : cycloneii_lcell_comb
-- Equation(s):
-- \u2|Add1~6_combout\ = \u2|Counter\(3) & !\u2|Add1~5\ # !\u2|Counter\(3) & (\u2|Add1~5\ # GND)
-- \u2|Add1~7\ = CARRY(!\u2|Add1~5\ # !\u2|Counter\(3))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110000111111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u2|Counter\(3),
        datad => VCC,
        cin => \u2|Add1~5\,
        combout => \u2|Add1~6_combout\,
        cout => \u2|Add1~7\);

\u2|Counter[3]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u2|Add1~6_combout\,
        sclr => \u2|Equal0~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u2|Counter\(3));

\u2|Add1~8\ : cycloneii_lcell_comb
-- Equation(s):
-- \u2|Add1~8_combout\ = \u2|Counter\(4) & (\u2|Add1~7\ $ GND) # !\u2|Counter\(4) & !\u2|Add1~7\ & VCC
-- \u2|Add1~9\ = CARRY(\u2|Counter\(4) & !\u2|Add1~7\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010010100001010",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u2|Counter\(4),
        datad => VCC,
        cin => \u2|Add1~7\,
        combout => \u2|Add1~8_combout\,
        cout => \u2|Add1~9\);

\u2|Counter[4]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u2|Add1~8_combout\,
        sclr => \u2|Equal0~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u2|Counter\(4));

\u2|Counter[2]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u2|Add1~4_combout\,
        sclr => \u2|Equal0~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u2|Counter\(2));

\u2|Equal0~5\ : cycloneii_lcell_comb
-- Equation(s):
-- \u2|Equal0~5_combout\ = \u2|Counter\(1) & \u2|Counter\(3) & !\u2|Counter\(4) & \u2|Counter\(2)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000100000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u2|Counter\(1),
        datab => \u2|Counter\(3),
        datac => \u2|Counter\(4),
        datad => \u2|Counter\(2),
        combout => \u2|Equal0~5_combout\);

\u2|Add1~10\ : cycloneii_lcell_comb
-- Equation(s):
-- \u2|Add1~10_combout\ = \u2|Counter\(5) & !\u2|Add1~9\ # !\u2|Counter\(5) & (\u2|Add1~9\ # GND)
-- \u2|Add1~11\ = CARRY(!\u2|Add1~9\ # !\u2|Counter\(5))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110000111111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u2|Counter\(5),
        datad => VCC,
        cin => \u2|Add1~9\,
        combout => \u2|Add1~10_combout\,
        cout => \u2|Add1~11\);

\u2|Counter[5]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u2|Add1~10_combout\,
        sclr => \u2|Equal0~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u2|Counter\(5));

\u2|Add1~12\ : cycloneii_lcell_comb
-- Equation(s):
-- \u2|Add1~12_combout\ = \u2|Counter\(6) & (\u2|Add1~11\ $ GND) # !\u2|Counter\(6) & !\u2|Add1~11\ & VCC
-- \u2|Add1~13\ = CARRY(\u2|Counter\(6) & !\u2|Add1~11\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010010100001010",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u2|Counter\(6),
        datad => VCC,
        cin => \u2|Add1~11\,
        combout => \u2|Add1~12_combout\,
        cout => \u2|Add1~13\);

\u2|Add1~14\ : cycloneii_lcell_comb
-- Equation(s):
-- \u2|Add1~14_combout\ = \u2|Counter\(7) & !\u2|Add1~13\ # !\u2|Counter\(7) & (\u2|Add1~13\ # GND)
-- \u2|Add1~15\ = CARRY(!\u2|Add1~13\ # !\u2|Counter\(7))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110000111111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u2|Counter\(7),
        datad => VCC,
        cin => \u2|Add1~13\,
        combout => \u2|Add1~14_combout\,
        cout => \u2|Add1~15\);

\u2|Counter[7]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u2|Add1~14_combout\,
        sclr => \u2|Equal0~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u2|Counter\(7));

\u2|Counter[6]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u2|Add1~12_combout\,
        sclr => \u2|Equal0~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u2|Counter\(6));

\u2|Equal0~6\ : cycloneii_lcell_comb
-- Equation(s):
-- \u2|Equal0~6_combout\ = !\u2|Counter\(24) & !\u2|Counter\(7) & \u2|Counter\(6) & !\u2|Counter\(5)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000000010000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u2|Counter\(24),
        datab => \u2|Counter\(7),
        datac => \u2|Counter\(6),
        datad => \u2|Counter\(5),
        combout => \u2|Equal0~6_combout\);

\u2|Add1~18\ : cycloneii_lcell_comb
-- Equation(s):
-- \u2|Add1~18_combout\ = \u2|Counter\(9) & !\u2|Add1~17\ # !\u2|Counter\(9) & (\u2|Add1~17\ # GND)
-- \u2|Add1~19\ = CARRY(!\u2|Add1~17\ # !\u2|Counter\(9))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110000111111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u2|Counter\(9),
        datad => VCC,
        cin => \u2|Add1~17\,
        combout => \u2|Add1~18_combout\,
        cout => \u2|Add1~19\);

\u2|Counter[9]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u2|Add1~18_combout\,
        sclr => \u2|Equal0~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u2|Counter\(9));

\u2|Add1~20\ : cycloneii_lcell_comb
-- Equation(s):
-- \u2|Add1~20_combout\ = \u2|Counter\(10) & (\u2|Add1~19\ $ GND) # !\u2|Counter\(10) & !\u2|Add1~19\ & VCC
-- \u2|Add1~21\ = CARRY(\u2|Counter\(10) & !\u2|Add1~19\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100001100001100",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u2|Counter\(10),
        datad => VCC,
        cin => \u2|Add1~19\,
        combout => \u2|Add1~20_combout\,
        cout => \u2|Add1~21\);

\u2|Counter[10]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u2|Add1~20_combout\,
        sclr => \u2|Equal0~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u2|Counter\(10));

\u2|Add1~22\ : cycloneii_lcell_comb
-- Equation(s):
-- \u2|Add1~22_combout\ = \u2|Counter\(11) & !\u2|Add1~21\ # !\u2|Counter\(11) & (\u2|Add1~21\ # GND)
-- \u2|Add1~23\ = CARRY(!\u2|Add1~21\ # !\u2|Counter\(11))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110000111111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u2|Counter\(11),
        datad => VCC,
        cin => \u2|Add1~21\,
        combout => \u2|Add1~22_combout\,
        cout => \u2|Add1~23\);

\u2|Counter[11]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u2|Add1~22_combout\,
        sclr => \u2|Equal0~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u2|Counter\(11));

\u2|Add1~24\ : cycloneii_lcell_comb
-- Equation(s):
-- \u2|Add1~24_combout\ = \u2|Counter\(12) & (\u2|Add1~23\ $ GND) # !\u2|Counter\(12) & !\u2|Add1~23\ & VCC
-- \u2|Add1~25\ = CARRY(\u2|Counter\(12) & !\u2|Add1~23\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100001100001100",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u2|Counter\(12),
        datad => VCC,
        cin => \u2|Add1~23\,
        combout => \u2|Add1~24_combout\,
        cout => \u2|Add1~25\);

\u2|Counter[12]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u2|Add1~24_combout\,
        sclr => \u2|Equal0~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u2|Counter\(12));

\u2|Add1~26\ : cycloneii_lcell_comb
-- Equation(s):
-- \u2|Add1~26_combout\ = \u2|Counter\(13) & !\u2|Add1~25\ # !\u2|Counter\(13) & (\u2|Add1~25\ # GND)
-- \u2|Add1~27\ = CARRY(!\u2|Add1~25\ # !\u2|Counter\(13))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110000111111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u2|Counter\(13),
        datad => VCC,
        cin => \u2|Add1~25\,
        combout => \u2|Add1~26_combout\,
        cout => \u2|Add1~27\);

\u2|Counter[13]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u2|Add1~26_combout\,
        sclr => \u2|Equal0~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u2|Counter\(13));

\u2|Add1~28\ : cycloneii_lcell_comb
-- Equation(s):
-- \u2|Add1~28_combout\ = \u2|Counter\(14) & (\u2|Add1~27\ $ GND) # !\u2|Counter\(14) & !\u2|Add1~27\ & VCC
-- \u2|Add1~29\ = CARRY(\u2|Counter\(14) & !\u2|Add1~27\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100001100001100",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u2|Counter\(14),
        datad => VCC,
        cin => \u2|Add1~27\,
        combout => \u2|Add1~28_combout\,
        cout => \u2|Add1~29\);

\u2|Counter[14]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u2|Add1~28_combout\,
        sclr => \u2|Equal0~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u2|Counter\(14));

\u2|Add1~32\ : cycloneii_lcell_comb
-- Equation(s):
-- \u2|Add1~32_combout\ = \u2|Counter\(16) & (\u2|Add1~31\ $ GND) # !\u2|Counter\(16) & !\u2|Add1~31\ & VCC
-- \u2|Add1~33\ = CARRY(\u2|Counter\(16) & !\u2|Add1~31\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100001100001100",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u2|Counter\(16),
        datad => VCC,
        cin => \u2|Add1~31\,
        combout => \u2|Add1~32_combout\,
        cout => \u2|Add1~33\);

\u2|Counter[16]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u2|Add1~32_combout\,
        sclr => \u2|Equal0~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u2|Counter\(16));

\u2|Add1~38\ : cycloneii_lcell_comb
-- Equation(s):
-- \u2|Add1~38_combout\ = \u2|Counter\(19) & !\u2|Add1~37\ # !\u2|Counter\(19) & (\u2|Add1~37\ # GND)
-- \u2|Add1~39\ = CARRY(!\u2|Add1~37\ # !\u2|Counter\(19))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110000111111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u2|Counter\(19),
        datad => VCC,
        cin => \u2|Add1~37\,
        combout => \u2|Add1~38_combout\,
        cout => \u2|Add1~39\);

\u2|Counter[19]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u2|Add1~38_combout\,
        sclr => \u2|Equal0~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u2|Counter\(19));

\u2|Add1~42\ : cycloneii_lcell_comb
-- Equation(s):
-- \u2|Add1~42_combout\ = \u2|Counter\(21) & !\u2|Add1~41\ # !\u2|Counter\(21) & (\u2|Add1~41\ # GND)
-- \u2|Add1~43\ = CARRY(!\u2|Add1~41\ # !\u2|Counter\(21))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110000111111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u2|Counter\(21),
        datad => VCC,
        cin => \u2|Add1~41\,
        combout => \u2|Add1~42_combout\,
        cout => \u2|Add1~43\);

\u2|Counter[21]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u2|Add1~42_combout\,
        sclr => \u2|Equal0~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u2|Counter\(21));

\u2|Add1~44\ : cycloneii_lcell_comb
-- Equation(s):
-- \u2|Add1~44_combout\ = \u2|Counter\(22) & (\u2|Add1~43\ $ GND) # !\u2|Counter\(22) & !\u2|Add1~43\ & VCC
-- \u2|Add1~45\ = CARRY(\u2|Counter\(22) & !\u2|Add1~43\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010010100001010",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u2|Counter\(22),
        datad => VCC,
        cin => \u2|Add1~43\,
        combout => \u2|Add1~44_combout\,
        cout => \u2|Add1~45\);

\u2|Counter[22]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u2|Add1~44_combout\,
        sclr => \u2|Equal0~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u2|Counter\(22));

\u2|Counter[23]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u2|Add1~46_combout\,
        sclr => \u2|Equal0~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u2|Counter\(23));

\u2|Equal0~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u2|Equal0~0_combout\ = !\u2|Counter\(20) & !\u2|Counter\(19) & !\u2|Counter\(22) & !\u2|Counter\(23)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000000000001",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u2|Counter\(20),
        datab => \u2|Counter\(19),
        datac => \u2|Counter\(22),
        datad => \u2|Counter\(23),
        combout => \u2|Equal0~0_combout\);

\u2|Equal0~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u2|Equal0~2_combout\ = !\u2|Counter\(14) & !\u2|Counter\(12) & !\u2|Counter\(11) & !\u2|Counter\(13)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000000000001",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u2|Counter\(14),
        datab => \u2|Counter\(12),
        datac => \u2|Counter\(11),
        datad => \u2|Counter\(13),
        combout => \u2|Equal0~2_combout\);

\u2|Equal0~3\ : cycloneii_lcell_comb
-- Equation(s):
-- \u2|Equal0~3_combout\ = !\u2|Counter\(8) & !\u2|Counter\(9) & \u2|Counter\(0) & !\u2|Counter\(10)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000000010000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u2|Counter\(8),
        datab => \u2|Counter\(9),
        datac => \u2|Counter\(0),
        datad => \u2|Counter\(10),
        combout => \u2|Equal0~3_combout\);

\u2|Equal0~4\ : cycloneii_lcell_comb
-- Equation(s):
-- \u2|Equal0~4_combout\ = \u2|Equal0~1_combout\ & \u2|Equal0~0_combout\ & \u2|Equal0~2_combout\ & \u2|Equal0~3_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1000000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u2|Equal0~1_combout\,
        datab => \u2|Equal0~0_combout\,
        datac => \u2|Equal0~2_combout\,
        datad => \u2|Equal0~3_combout\,
        combout => \u2|Equal0~4_combout\);

\u2|Equal0~7\ : cycloneii_lcell_comb
-- Equation(s):
-- \u2|Equal0~7_combout\ = !\u2|Counter\(21) & \u2|Equal0~5_combout\ & \u2|Equal0~6_combout\ & \u2|Equal0~4_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0100000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u2|Counter\(21),
        datab => \u2|Equal0~5_combout\,
        datac => \u2|Equal0~6_combout\,
        datad => \u2|Equal0~4_combout\,
        combout => \u2|Equal0~7_combout\);

\u2|I2C_Stream_Counter[6]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u2|I2C_Stream_Counter[6]~33_combout\,
        sclr => \ALT_INV_I2C_ACTIVE_IN~regout\,
        ena => \u2|Equal0~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u2|I2C_Stream_Counter\(6));

\u2|I2C_Stream_Counter[0]~21\ : cycloneii_lcell_comb
-- Equation(s):
-- \u2|I2C_Stream_Counter[0]~21_combout\ = \u2|I2C_Stream_Counter\(0) & (\u2|Equal1~1_combout\ $ GND) # !\u2|I2C_Stream_Counter\(0) & !\u2|Equal1~1_combout\ & VCC
-- \u2|I2C_Stream_Counter[0]~22\ = CARRY(\u2|I2C_Stream_Counter\(0) & !\u2|Equal1~1_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1001100100100010",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u2|I2C_Stream_Counter\(0),
        datab => \u2|Equal1~1_combout\,
        datad => VCC,
        combout => \u2|I2C_Stream_Counter[0]~21_combout\,
        cout => \u2|I2C_Stream_Counter[0]~22\);

\u2|I2C_Stream_Counter[0]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u2|I2C_Stream_Counter[0]~21_combout\,
        sclr => \ALT_INV_I2C_ACTIVE_IN~regout\,
        ena => \u2|Equal0~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u2|I2C_Stream_Counter\(0));

\u2|Equal1~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u2|Equal1~0_combout\ = \u2|I2C_Stream_Counter\(1) & \u2|I2C_Stream_Counter\(6) & !\u2|I2C_Stream_Counter\(0) & !\u2|I2C_Stream_Counter\(5)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000000001000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u2|I2C_Stream_Counter\(1),
        datab => \u2|I2C_Stream_Counter\(6),
        datac => \u2|I2C_Stream_Counter\(0),
        datad => \u2|I2C_Stream_Counter\(5),
        combout => \u2|Equal1~0_combout\);

\u2|I2C_Stream_Counter[2]~25\ : cycloneii_lcell_comb
-- Equation(s):
-- \u2|I2C_Stream_Counter[2]~25_combout\ = \u2|I2C_Stream_Counter\(2) & (\u2|I2C_Stream_Counter[1]~24\ $ GND) # !\u2|I2C_Stream_Counter\(2) & !\u2|I2C_Stream_Counter[1]~24\ & VCC
-- \u2|I2C_Stream_Counter[2]~26\ = CARRY(\u2|I2C_Stream_Counter\(2) & !\u2|I2C_Stream_Counter[1]~24\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010010100001010",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u2|I2C_Stream_Counter\(2),
        datad => VCC,
        cin => \u2|I2C_Stream_Counter[1]~24\,
        combout => \u2|I2C_Stream_Counter[2]~25_combout\,
        cout => \u2|I2C_Stream_Counter[2]~26\);

\u2|I2C_Stream_Counter[2]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u2|I2C_Stream_Counter[2]~25_combout\,
        sclr => \ALT_INV_I2C_ACTIVE_IN~regout\,
        ena => \u2|Equal0~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u2|I2C_Stream_Counter\(2));

\u2|Equal1~1\ : cycloneii_lcell_comb
-- Equation(s):
-- \u2|Equal1~1_combout\ = \u2|I2C_Stream_Counter\(4) & \u2|Equal1~0_combout\ & \u2|I2C_Stream_Counter\(2) & !\u2|I2C_Stream_Counter\(3)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000010000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u2|I2C_Stream_Counter\(4),
        datab => \u2|Equal1~0_combout\,
        datac => \u2|I2C_Stream_Counter\(2),
        datad => \u2|I2C_Stream_Counter\(3),
        combout => \u2|Equal1~1_combout\);

\u2|I2C_Stream_Counter[1]~23\ : cycloneii_lcell_comb
-- Equation(s):
-- \u2|I2C_Stream_Counter[1]~23_combout\ = \u2|I2C_Stream_Counter\(1) & !\u2|I2C_Stream_Counter[0]~22\ # !\u2|I2C_Stream_Counter\(1) & (\u2|I2C_Stream_Counter[0]~22\ # GND)
-- \u2|I2C_Stream_Counter[1]~24\ = CARRY(!\u2|I2C_Stream_Counter[0]~22\ # !\u2|I2C_Stream_Counter\(1))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110000111111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u2|I2C_Stream_Counter\(1),
        datad => VCC,
        cin => \u2|I2C_Stream_Counter[0]~22\,
        combout => \u2|I2C_Stream_Counter[1]~23_combout\,
        cout => \u2|I2C_Stream_Counter[1]~24\);

\u2|I2C_Stream_Counter[1]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u2|I2C_Stream_Counter[1]~23_combout\,
        sclr => \ALT_INV_I2C_ACTIVE_IN~regout\,
        ena => \u2|Equal0~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u2|I2C_Stream_Counter\(1));

\u2|I2C_Stream_Counter[3]~27\ : cycloneii_lcell_comb
-- Equation(s):
-- \u2|I2C_Stream_Counter[3]~27_combout\ = \u2|I2C_Stream_Counter\(3) & !\u2|I2C_Stream_Counter[2]~26\ # !\u2|I2C_Stream_Counter\(3) & (\u2|I2C_Stream_Counter[2]~26\ # GND)
-- \u2|I2C_Stream_Counter[3]~28\ = CARRY(!\u2|I2C_Stream_Counter[2]~26\ # !\u2|I2C_Stream_Counter\(3))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110000111111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u2|I2C_Stream_Counter\(3),
        datad => VCC,
        cin => \u2|I2C_Stream_Counter[2]~26\,
        combout => \u2|I2C_Stream_Counter[3]~27_combout\,
        cout => \u2|I2C_Stream_Counter[3]~28\);

\u2|I2C_Stream_Counter[3]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u2|I2C_Stream_Counter[3]~27_combout\,
        sclr => \ALT_INV_I2C_ACTIVE_IN~regout\,
        ena => \u2|Equal0~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u2|I2C_Stream_Counter\(3));

\u2|I2C_Stream_Counter[4]~29\ : cycloneii_lcell_comb
-- Equation(s):
-- \u2|I2C_Stream_Counter[4]~29_combout\ = \u2|I2C_Stream_Counter\(4) & (\u2|I2C_Stream_Counter[3]~28\ $ GND) # !\u2|I2C_Stream_Counter\(4) & !\u2|I2C_Stream_Counter[3]~28\ & VCC
-- \u2|I2C_Stream_Counter[4]~30\ = CARRY(\u2|I2C_Stream_Counter\(4) & !\u2|I2C_Stream_Counter[3]~28\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010010100001010",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u2|I2C_Stream_Counter\(4),
        datad => VCC,
        cin => \u2|I2C_Stream_Counter[3]~28\,
        combout => \u2|I2C_Stream_Counter[4]~29_combout\,
        cout => \u2|I2C_Stream_Counter[4]~30\);

\u2|I2C_Stream_Counter[5]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u2|I2C_Stream_Counter[5]~31_combout\,
        sclr => \ALT_INV_I2C_ACTIVE_IN~regout\,
        ena => \u2|Equal0~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u2|I2C_Stream_Counter\(5));

\u2|I2C_Stream_Counter[4]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u2|I2C_Stream_Counter[4]~29_combout\,
        sclr => \ALT_INV_I2C_ACTIVE_IN~regout\,
        ena => \u2|Equal0~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u2|I2C_Stream_Counter\(4));

\u2|Mux1~45\ : cycloneii_lcell_comb
-- Equation(s):
-- \u2|Mux1~45_combout\ = \u2|I2C_Stream_Counter\(1) & (\u2|I2C_Stream_Counter\(0) & !\u2|I2C_Stream_Counter\(2) # !\u2|I2C_Stream_Counter\(0) & (!\u2|I2C_Stream_Counter\(4))) # !\u2|I2C_Stream_Counter\(1) & (\u2|I2C_Stream_Counter\(2) # 
-- !\u2|I2C_Stream_Counter\(4) & \u2|I2C_Stream_Counter\(0))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0101001110111010",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u2|I2C_Stream_Counter\(2),
        datab => \u2|I2C_Stream_Counter\(4),
        datac => \u2|I2C_Stream_Counter\(0),
        datad => \u2|I2C_Stream_Counter\(1),
        combout => \u2|Mux1~45_combout\);

\u2|Mux1~47\ : cycloneii_lcell_comb
-- Equation(s):
-- \u2|Mux1~47_combout\ = \u2|I2C_Stream_Counter\(3) & (\u2|Mux1~45_combout\ # \u2|I2C_Stream_Counter\(5)) # !\u2|I2C_Stream_Counter\(3) & !\u2|Mux1~46_combout\ & (!\u2|I2C_Stream_Counter\(5))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100110011010001",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u2|Mux1~46_combout\,
        datab => \u2|I2C_Stream_Counter\(3),
        datac => \u2|Mux1~45_combout\,
        datad => \u2|I2C_Stream_Counter\(5),
        combout => \u2|Mux1~47_combout\);

\I2C_Stream_Counter[1]~7\ : cycloneii_lcell_comb
-- Equation(s):
-- \I2C_Stream_Counter[1]~7_combout\ = I2C_Stream_Counter(1) $ (I2C_Stream_Counter(0) & \I2C_ACTIVE_IN~regout\ & \Equal1~7_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0111100011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => I2C_Stream_Counter(0),
        datab => \I2C_ACTIVE_IN~regout\,
        datac => I2C_Stream_Counter(1),
        datad => \Equal1~7_combout\,
        combout => \I2C_Stream_Counter[1]~7_combout\);

\I2C_Stream_Counter[1]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \I2C_Stream_Counter[1]~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => I2C_Stream_Counter(1));

\I2S_ACTIVE_IN~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \I2S_ACTIVE_IN~2_combout\ = \I2C_ACTIVE_IN~regout\ & \Equal1~7_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100110000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \I2C_ACTIVE_IN~regout\,
        datad => \Equal1~7_combout\,
        combout => \I2S_ACTIVE_IN~2_combout\);

\I2C_Stream_Counter[2]~8\ : cycloneii_lcell_comb
-- Equation(s):
-- \I2C_Stream_Counter[2]~8_combout\ = I2C_Stream_Counter(2) $ (I2C_Stream_Counter(0) & I2C_Stream_Counter(1) & \I2S_ACTIVE_IN~2_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0111100011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => I2C_Stream_Counter(0),
        datab => I2C_Stream_Counter(1),
        datac => I2C_Stream_Counter(2),
        datad => \I2S_ACTIVE_IN~2_combout\,
        combout => \I2C_Stream_Counter[2]~8_combout\);

\I2C_Stream_Counter[2]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \I2C_Stream_Counter[2]~8_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => I2C_Stream_Counter(2));

\I2C_Register_Address_Stream~10\ : cycloneii_lcell_comb
-- Equation(s):
-- \I2C_Register_Address_Stream~10_combout\ = !I2C_Stream_Counter(2) # !I2C_Stream_Counter(1)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111111111111",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => I2C_Stream_Counter(1),
        datad => I2C_Stream_Counter(2),
        combout => \I2C_Register_Address_Stream~10_combout\);

\I2C_REGISTER_ADDRESS[4]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \I2C_Register_Address_Stream~10_combout\,
        ena => \Equal1~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => I2C_REGISTER_ADDRESS(4));

\u2|Mux1~41\ : cycloneii_lcell_comb
-- Equation(s):
-- \u2|Mux1~41_combout\ = \u2|I2C_Stream_Counter\(1) & (\u2|I2C_Stream_Counter\(4) # !I2C_REGISTER_ADDRESS(4))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100000011001100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u2|I2C_Stream_Counter\(1),
        datac => \u2|I2C_Stream_Counter\(4),
        datad => I2C_REGISTER_ADDRESS(4),
        combout => \u2|Mux1~41_combout\);

\u2|Mux1~42\ : cycloneii_lcell_comb
-- Equation(s):
-- \u2|Mux1~42_combout\ = \u2|Mux1~41_combout\ & \u2|I2C_Stream_Counter\(0) # !\u2|Mux1~41_combout\ & (\u2|I2C_Stream_Counter\(2))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010101011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u2|I2C_Stream_Counter\(0),
        datac => \u2|I2C_Stream_Counter\(2),
        datad => \u2|Mux1~41_combout\,
        combout => \u2|Mux1~42_combout\);

\I2C_Stream_Counter[0]~6\ : cycloneii_lcell_comb
-- Equation(s):
-- \I2C_Stream_Counter[0]~6_combout\ = I2C_Stream_Counter(0) $ (\I2C_ACTIVE_IN~regout\ & \Equal1~7_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \I2C_ACTIVE_IN~regout\,
        datac => I2C_Stream_Counter(0),
        datad => \Equal1~7_combout\,
        combout => \I2C_Stream_Counter[0]~6_combout\);

\I2C_Stream_Counter[0]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \I2C_Stream_Counter[0]~6_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => I2C_Stream_Counter(0));

\I2C_Register_Address_Stream~12\ : cycloneii_lcell_comb
-- Equation(s):
-- \I2C_Register_Address_Stream~12_combout\ = !I2C_Stream_Counter(1) & !I2C_Stream_Counter(2) # !I2C_Stream_Counter(0)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011001101110111",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => I2C_Stream_Counter(1),
        datab => I2C_Stream_Counter(0),
        datad => I2C_Stream_Counter(2),
        combout => \I2C_Register_Address_Stream~12_combout\);

\I2C_REGISTER_ADDRESS[1]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \I2C_Register_Address_Stream~12_combout\,
        ena => \Equal1~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => I2C_REGISTER_ADDRESS(1));

\u2|Mux1~43\ : cycloneii_lcell_comb
-- Equation(s):
-- \u2|Mux1~43_combout\ = \u2|I2C_Stream_Counter\(2) & \u2|I2C_Stream_Counter\(0) & \u2|Mux1~41_combout\ # !\u2|I2C_Stream_Counter\(2) & I2C_REGISTER_ADDRESS(1) & (!\u2|Mux1~41_combout\ # !\u2|I2C_Stream_Counter\(0))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1001001110000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u2|I2C_Stream_Counter\(0),
        datab => \u2|I2C_Stream_Counter\(2),
        datac => \u2|Mux1~41_combout\,
        datad => I2C_REGISTER_ADDRESS(1),
        combout => \u2|Mux1~43_combout\);

\u2|Mux1~44\ : cycloneii_lcell_comb
-- Equation(s):
-- \u2|Mux1~44_combout\ = \u2|Mux1~43_combout\ & (\u2|Mux1~42_combout\) # !\u2|Mux1~43_combout\ & \u2|I2C_Stream_Counter\(4) & (I2C_REGISTER_ADDRESS(0) # !\u2|Mux1~42_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000010001100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => I2C_REGISTER_ADDRESS(0),
        datab => \u2|I2C_Stream_Counter\(4),
        datac => \u2|Mux1~42_combout\,
        datad => \u2|Mux1~43_combout\,
        combout => \u2|Mux1~44_combout\);

\u2|Mux1~54\ : cycloneii_lcell_comb
-- Equation(s):
-- \u2|Mux1~54_combout\ = \u2|I2C_Stream_Counter\(5) & (\u2|Mux1~47_combout\ & \u2|Mux1~53_combout\ # !\u2|Mux1~47_combout\ & (\u2|Mux1~44_combout\)) # !\u2|I2C_Stream_Counter\(5) & (\u2|Mux1~47_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1011110010110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u2|Mux1~53_combout\,
        datab => \u2|I2C_Stream_Counter\(5),
        datac => \u2|Mux1~47_combout\,
        datad => \u2|Mux1~44_combout\,
        combout => \u2|Mux1~54_combout\);

\Mux9~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \Mux9~0_combout\ = I2C_Stream_Counter(1) & (!I2C_Stream_Counter(2)) # !I2C_Stream_Counter(1) & (!AUDIO_CODEC_VOLUME(0) & !I2C_Stream_Counter(2) # !I2C_Stream_Counter(0))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011001100011111",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => AUDIO_CODEC_VOLUME(0),
        datab => I2C_Stream_Counter(2),
        datac => I2C_Stream_Counter(0),
        datad => I2C_Stream_Counter(1),
        combout => \Mux9~0_combout\);

\I2C_REGISTER_DATA[0]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \Mux9~0_combout\,
        ena => \Equal1~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => I2C_REGISTER_DATA(0));

\Mux8~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \Mux8~0_combout\ = !I2C_Stream_Counter(2) & (I2C_Stream_Counter(0) & (AUDIO_CODEC_VOLUME(1) # I2C_Stream_Counter(1)) # !I2C_Stream_Counter(0) & (!I2C_Stream_Counter(1)))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000110000001011",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => AUDIO_CODEC_VOLUME(1),
        datab => I2C_Stream_Counter(0),
        datac => I2C_Stream_Counter(2),
        datad => I2C_Stream_Counter(1),
        combout => \Mux8~0_combout\);

\I2C_REGISTER_DATA[1]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \Mux8~0_combout\,
        ena => \Equal1~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => I2C_REGISTER_DATA(1));

\u2|Mux1~59\ : cycloneii_lcell_comb
-- Equation(s):
-- \u2|Mux1~59_combout\ = \u2|I2C_Stream_Counter\(1) & !I2C_REGISTER_DATA(0) # !\u2|I2C_Stream_Counter\(1) & (I2C_REGISTER_DATA(1))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011111100001100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u2|I2C_Stream_Counter\(1),
        datac => I2C_REGISTER_DATA(0),
        datad => I2C_REGISTER_DATA(1),
        combout => \u2|Mux1~59_combout\);

\Add3~4\ : cycloneii_lcell_comb
-- Equation(s):
-- \Add3~4_combout\ = AUDIO_CODEC_VOLUME(0) & (\Add3~3_combout\ $ VCC) # !AUDIO_CODEC_VOLUME(0) & \Add3~3_combout\ & VCC
-- \Add3~5\ = CARRY(AUDIO_CODEC_VOLUME(0) & \Add3~3_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0110011010001000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => AUDIO_CODEC_VOLUME(0),
        datab => \Add3~3_combout\,
        datad => VCC,
        combout => \Add3~4_combout\,
        cout => \Add3~5\);

\KEY_1~I\ : cycloneii_io
-- pragma translate_off
GENERIC MAP (
        input_async_reset => "none",
        input_power_up => "low",
        input_register_mode => "none",
        input_sync_reset => "none",
        oe_async_reset => "none",
        oe_power_up => "low",
        oe_register_mode => "none",
        oe_sync_reset => "none",
        operation_mode => "input",
        output_async_reset => "none",
        output_power_up => "low",
        output_register_mode => "none",
        output_sync_reset => "none")
-- pragma translate_on
PORT MAP (
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        devoe => ww_devoe,
        oe => GND,
        padio => ww_KEY_1,
        combout => \KEY_1~combout\);

\AUDIO_CODEC_VOLUME[6]~28\ : cycloneii_lcell_comb
-- Equation(s):
-- \AUDIO_CODEC_VOLUME[6]~28_combout\ = AUDIO_CODEC_VOLUME(2) # AUDIO_CODEC_VOLUME(1) # !AUDIO_CODEC_VOLUME(5) # !AUDIO_CODEC_VOLUME(6)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111110111",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => AUDIO_CODEC_VOLUME(6),
        datab => AUDIO_CODEC_VOLUME(5),
        datac => AUDIO_CODEC_VOLUME(2),
        datad => AUDIO_CODEC_VOLUME(1),
        combout => \AUDIO_CODEC_VOLUME[6]~28_combout\);

\AUDIO_CODEC_VOLUME[6]~29\ : cycloneii_lcell_comb
-- Equation(s):
-- \AUDIO_CODEC_VOLUME[6]~29_combout\ = AUDIO_CODEC_VOLUME(0) # AUDIO_CODEC_VOLUME(3) # \AUDIO_CODEC_VOLUME[6]~28_combout\ # !AUDIO_CODEC_VOLUME(4)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111011111111",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => AUDIO_CODEC_VOLUME(0),
        datab => AUDIO_CODEC_VOLUME(3),
        datac => \AUDIO_CODEC_VOLUME[6]~28_combout\,
        datad => AUDIO_CODEC_VOLUME(4),
        combout => \AUDIO_CODEC_VOLUME[6]~29_combout\);

\AUDIO_CODEC_VOLUME[6]~30\ : cycloneii_lcell_comb
-- Equation(s):
-- \AUDIO_CODEC_VOLUME[6]~30_combout\ = \Equal1~7_combout\ & (!\KEY_1~combout\ & \AUDIO_CODEC_VOLUME[6]~29_combout\ # !\KEY_0~combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0111010100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \KEY_0~combout\,
        datab => \KEY_1~combout\,
        datac => \AUDIO_CODEC_VOLUME[6]~29_combout\,
        datad => \Equal1~7_combout\,
        combout => \AUDIO_CODEC_VOLUME[6]~30_combout\);

\AUDIO_CODEC_VOLUME[0]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \Add3~4_combout\,
        ena => \AUDIO_CODEC_VOLUME[6]~30_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => AUDIO_CODEC_VOLUME(0));

\Add3~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \Add3~2_combout\ = \KEY_0~combout\ # AUDIO_CODEC_VOLUME(4) # !AUDIO_CODEC_VOLUME(0) # !AUDIO_CODEC_VOLUME(3)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111110111111",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \KEY_0~combout\,
        datab => AUDIO_CODEC_VOLUME(3),
        datac => AUDIO_CODEC_VOLUME(0),
        datad => AUDIO_CODEC_VOLUME(4),
        combout => \Add3~2_combout\);

\Add3~14\ : cycloneii_lcell_comb
-- Equation(s):
-- \Add3~14_combout\ = \KEY_0~combout\ & (AUDIO_CODEC_VOLUME(5) & !\Add3~13\ # !AUDIO_CODEC_VOLUME(5) & \Add3~13\ & VCC) # !\KEY_0~combout\ & (AUDIO_CODEC_VOLUME(5) & (\Add3~13\ # GND) # !AUDIO_CODEC_VOLUME(5) & !\Add3~13\)
-- \Add3~15\ = CARRY(\KEY_0~combout\ & AUDIO_CODEC_VOLUME(5) & !\Add3~13\ # !\KEY_0~combout\ & (AUDIO_CODEC_VOLUME(5) # !\Add3~13\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0110100101001101",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \KEY_0~combout\,
        datab => AUDIO_CODEC_VOLUME(5),
        datad => VCC,
        cin => \Add3~13\,
        combout => \Add3~14_combout\,
        cout => \Add3~15\);

\Add3~16\ : cycloneii_lcell_comb
-- Equation(s):
-- \Add3~16_combout\ = \KEY_0~combout\ $ \Add3~15\ $ AUDIO_CODEC_VOLUME(6)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010010101011010",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \KEY_0~combout\,
        datad => AUDIO_CODEC_VOLUME(6),
        cin => \Add3~15\,
        combout => \Add3~16_combout\);

\AUDIO_CODEC_VOLUME[6]~32\ : cycloneii_lcell_comb
-- Equation(s):
-- \AUDIO_CODEC_VOLUME[6]~32_combout\ = !\Add3~16_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011111111",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datad => \Add3~16_combout\,
        combout => \AUDIO_CODEC_VOLUME[6]~32_combout\);

\AUDIO_CODEC_VOLUME[6]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \AUDIO_CODEC_VOLUME[6]~32_combout\,
        ena => \AUDIO_CODEC_VOLUME[6]~30_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => AUDIO_CODEC_VOLUME(6));

\Add3~1\ : cycloneii_lcell_comb
-- Equation(s):
-- \Add3~1_combout\ = AUDIO_CODEC_VOLUME(5) # AUDIO_CODEC_VOLUME(6)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111001100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => AUDIO_CODEC_VOLUME(5),
        datad => AUDIO_CODEC_VOLUME(6),
        combout => \Add3~1_combout\);

\Add3~3\ : cycloneii_lcell_comb
-- Equation(s):
-- \Add3~3_combout\ = \Add3~2_combout\ # \Add3~1_combout\ # !AUDIO_CODEC_VOLUME(1) # !AUDIO_CODEC_VOLUME(2)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111110111",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => AUDIO_CODEC_VOLUME(2),
        datab => AUDIO_CODEC_VOLUME(1),
        datac => \Add3~2_combout\,
        datad => \Add3~1_combout\,
        combout => \Add3~3_combout\);

\Add3~6\ : cycloneii_lcell_comb
-- Equation(s):
-- \Add3~6_combout\ = \KEY_0~combout\ & (AUDIO_CODEC_VOLUME(1) & \Add3~5\ & VCC # !AUDIO_CODEC_VOLUME(1) & !\Add3~5\) # !\KEY_0~combout\ & (AUDIO_CODEC_VOLUME(1) & !\Add3~5\ # !AUDIO_CODEC_VOLUME(1) & (\Add3~5\ # GND))
-- \Add3~7\ = CARRY(\KEY_0~combout\ & !AUDIO_CODEC_VOLUME(1) & !\Add3~5\ # !\KEY_0~combout\ & (!\Add3~5\ # !AUDIO_CODEC_VOLUME(1)))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1001011000010111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \KEY_0~combout\,
        datab => AUDIO_CODEC_VOLUME(1),
        datad => VCC,
        cin => \Add3~5\,
        combout => \Add3~6_combout\,
        cout => \Add3~7\);

\AUDIO_CODEC_VOLUME[1]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \Add3~6_combout\,
        ena => \AUDIO_CODEC_VOLUME[6]~30_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => AUDIO_CODEC_VOLUME(1));

\Add3~8\ : cycloneii_lcell_comb
-- Equation(s):
-- \Add3~8_combout\ = (\KEY_0~combout\ $ AUDIO_CODEC_VOLUME(2) $ !\Add3~7\) # GND
-- \Add3~9\ = CARRY(\KEY_0~combout\ & (AUDIO_CODEC_VOLUME(2) # !\Add3~7\) # !\KEY_0~combout\ & AUDIO_CODEC_VOLUME(2) & !\Add3~7\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0110100110001110",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \KEY_0~combout\,
        datab => AUDIO_CODEC_VOLUME(2),
        datad => VCC,
        cin => \Add3~7\,
        combout => \Add3~8_combout\,
        cout => \Add3~9\);

\AUDIO_CODEC_VOLUME[2]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \Add3~8_combout\,
        ena => \AUDIO_CODEC_VOLUME[6]~30_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => AUDIO_CODEC_VOLUME(2));

\Add3~10\ : cycloneii_lcell_comb
-- Equation(s):
-- \Add3~10_combout\ = \KEY_0~combout\ & (AUDIO_CODEC_VOLUME(3) & \Add3~9\ & VCC # !AUDIO_CODEC_VOLUME(3) & !\Add3~9\) # !\KEY_0~combout\ & (AUDIO_CODEC_VOLUME(3) & !\Add3~9\ # !AUDIO_CODEC_VOLUME(3) & (\Add3~9\ # GND))
-- \Add3~11\ = CARRY(\KEY_0~combout\ & !AUDIO_CODEC_VOLUME(3) & !\Add3~9\ # !\KEY_0~combout\ & (!\Add3~9\ # !AUDIO_CODEC_VOLUME(3)))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1001011000010111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \KEY_0~combout\,
        datab => AUDIO_CODEC_VOLUME(3),
        datad => VCC,
        cin => \Add3~9\,
        combout => \Add3~10_combout\,
        cout => \Add3~11\);

\AUDIO_CODEC_VOLUME[3]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \Add3~10_combout\,
        ena => \AUDIO_CODEC_VOLUME[6]~30_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => AUDIO_CODEC_VOLUME(3));

\Add3~12\ : cycloneii_lcell_comb
-- Equation(s):
-- \Add3~12_combout\ = (\KEY_0~combout\ $ AUDIO_CODEC_VOLUME(4) $ \Add3~11\) # GND
-- \Add3~13\ = CARRY(\KEY_0~combout\ & (!\Add3~11\ # !AUDIO_CODEC_VOLUME(4)) # !\KEY_0~combout\ & !AUDIO_CODEC_VOLUME(4) & !\Add3~11\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1001011000101011",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \KEY_0~combout\,
        datab => AUDIO_CODEC_VOLUME(4),
        datad => VCC,
        cin => \Add3~11\,
        combout => \Add3~12_combout\,
        cout => \Add3~13\);

\AUDIO_CODEC_VOLUME[4]~33\ : cycloneii_lcell_comb
-- Equation(s):
-- \AUDIO_CODEC_VOLUME[4]~33_combout\ = !\Add3~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111100001111",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \Add3~12_combout\,
        combout => \AUDIO_CODEC_VOLUME[4]~33_combout\);

\AUDIO_CODEC_VOLUME[4]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \AUDIO_CODEC_VOLUME[4]~33_combout\,
        ena => \AUDIO_CODEC_VOLUME[6]~30_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => AUDIO_CODEC_VOLUME(4));

\AUDIO_CODEC_VOLUME[5]~31\ : cycloneii_lcell_comb
-- Equation(s):
-- \AUDIO_CODEC_VOLUME[5]~31_combout\ = !\Add3~14_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011111111",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datad => \Add3~14_combout\,
        combout => \AUDIO_CODEC_VOLUME[5]~31_combout\);

\AUDIO_CODEC_VOLUME[5]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \AUDIO_CODEC_VOLUME[5]~31_combout\,
        ena => \AUDIO_CODEC_VOLUME[6]~30_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => AUDIO_CODEC_VOLUME(5));

\Mux4~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \Mux4~0_combout\ = I2C_Stream_Counter(0) & !I2C_Stream_Counter(1) & (I2C_Stream_Counter(2) # !AUDIO_CODEC_VOLUME(5)) # !I2C_Stream_Counter(0) & !I2C_Stream_Counter(2) & (I2C_Stream_Counter(1))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000010110110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => I2C_Stream_Counter(2),
        datab => AUDIO_CODEC_VOLUME(5),
        datac => I2C_Stream_Counter(0),
        datad => I2C_Stream_Counter(1),
        combout => \Mux4~0_combout\);

\I2C_REGISTER_DATA[5]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \Mux4~0_combout\,
        ena => \Equal1~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => I2C_REGISTER_DATA(5));

\Mux5~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \Mux5~0_combout\ = !I2C_Stream_Counter(2) & (!AUDIO_CODEC_VOLUME(4) & !I2C_Stream_Counter(1) # !I2C_Stream_Counter(0))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000001100000111",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => AUDIO_CODEC_VOLUME(4),
        datab => I2C_Stream_Counter(0),
        datac => I2C_Stream_Counter(2),
        datad => I2C_Stream_Counter(1),
        combout => \Mux5~0_combout\);

\I2C_REGISTER_DATA[4]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \Mux5~0_combout\,
        ena => \Equal1~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => I2C_REGISTER_DATA(4));

\u2|Mux1~57\ : cycloneii_lcell_comb
-- Equation(s):
-- \u2|Mux1~57_combout\ = \u2|I2C_Stream_Counter\(1) & (I2C_REGISTER_DATA(4)) # !\u2|I2C_Stream_Counter\(1) & I2C_REGISTER_DATA(5)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111110000110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u2|I2C_Stream_Counter\(1),
        datac => I2C_REGISTER_DATA(5),
        datad => I2C_REGISTER_DATA(4),
        combout => \u2|Mux1~57_combout\);

\Mux6~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \Mux6~0_combout\ = !I2C_Stream_Counter(2) & (AUDIO_CODEC_VOLUME(3) & !I2C_Stream_Counter(1) # !I2C_Stream_Counter(0))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000001100001011",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => AUDIO_CODEC_VOLUME(3),
        datab => I2C_Stream_Counter(0),
        datac => I2C_Stream_Counter(2),
        datad => I2C_Stream_Counter(1),
        combout => \Mux6~0_combout\);

\I2C_REGISTER_DATA[3]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \Mux6~0_combout\,
        ena => \Equal1~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => I2C_REGISTER_DATA(3));

\u2|Mux1~56\ : cycloneii_lcell_comb
-- Equation(s):
-- \u2|Mux1~56_combout\ = \u2|I2C_Stream_Counter\(0) & (I2C_REGISTER_DATA(3)) # !\u2|I2C_Stream_Counter\(0) & (\u2|I2C_Stream_Counter\(1) & (I2C_REGISTER_DATA(3)) # !\u2|I2C_Stream_Counter\(1) & I2C_REGISTER_DATA(4))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111000010000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u2|I2C_Stream_Counter\(0),
        datab => \u2|I2C_Stream_Counter\(1),
        datac => I2C_REGISTER_DATA(4),
        datad => I2C_REGISTER_DATA(3),
        combout => \u2|Mux1~56_combout\);

\u2|Mux1~58\ : cycloneii_lcell_comb
-- Equation(s):
-- \u2|Mux1~58_combout\ = \u2|I2C_Stream_Counter\(2) & (\u2|I2C_Stream_Counter\(3) # \u2|Mux1~56_combout\) # !\u2|I2C_Stream_Counter\(2) & !\u2|I2C_Stream_Counter\(3) & \u2|Mux1~57_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1011101010011000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u2|I2C_Stream_Counter\(2),
        datab => \u2|I2C_Stream_Counter\(3),
        datac => \u2|Mux1~57_combout\,
        datad => \u2|Mux1~56_combout\,
        combout => \u2|Mux1~58_combout\);

\u2|Mux1~60\ : cycloneii_lcell_comb
-- Equation(s):
-- \u2|Mux1~60_combout\ = \u2|I2C_Stream_Counter\(3) & (\u2|Mux1~58_combout\ & (\u2|Mux1~59_combout\) # !\u2|Mux1~58_combout\ & \u2|Mux1~55_combout\) # !\u2|I2C_Stream_Counter\(3) & (\u2|Mux1~58_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111001110001000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u2|Mux1~55_combout\,
        datab => \u2|I2C_Stream_Counter\(3),
        datac => \u2|Mux1~59_combout\,
        datad => \u2|Mux1~58_combout\,
        combout => \u2|Mux1~60_combout\);

\u2|Mux1~62\ : cycloneii_lcell_comb
-- Equation(s):
-- \u2|Mux1~62_combout\ = \u2|I2C_Stream_Counter\(4) & \u2|Mux1~61_combout\ & (!\u2|I2C_Stream_Counter\(3)) # !\u2|I2C_Stream_Counter\(4) & (\u2|Mux1~60_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011000010111000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u2|Mux1~61_combout\,
        datab => \u2|I2C_Stream_Counter\(4),
        datac => \u2|Mux1~60_combout\,
        datad => \u2|I2C_Stream_Counter\(3),
        combout => \u2|Mux1~62_combout\);

\u2|Mux1~63\ : cycloneii_lcell_comb
-- Equation(s):
-- \u2|Mux1~63_combout\ = \u2|I2C_Stream_Counter\(6) & !\u2|I2C_Stream_Counter\(5) & (\u2|Mux1~62_combout\) # !\u2|I2C_Stream_Counter\(6) & (\u2|Mux1~54_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0111001001010000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u2|I2C_Stream_Counter\(6),
        datab => \u2|I2C_Stream_Counter\(5),
        datac => \u2|Mux1~54_combout\,
        datad => \u2|Mux1~62_combout\,
        combout => \u2|Mux1~63_combout\);

\u2|I2C_DATA~reg0\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u2|Mux1~63_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u2|I2C_DATA~reg0_regout\);

\u3|Active_Module[0]~feeder\ : cycloneii_lcell_comb
-- Equation(s):
-- \u3|Active_Module[0]~feeder_combout\ = VCC

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111111111",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        combout => \u3|Active_Module[0]~feeder_combout\);

\I2S_ACTIVE_IN~3\ : cycloneii_lcell_comb
-- Equation(s):
-- \I2S_ACTIVE_IN~3_combout\ = \I2S_ACTIVE_IN~regout\ # I2C_Stream_Counter(0) & \I2S_ACTIVE_IN~2_combout\ & !\I2C_Register_Address_Stream~10_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000011111000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => I2C_Stream_Counter(0),
        datab => \I2S_ACTIVE_IN~2_combout\,
        datac => \I2S_ACTIVE_IN~regout\,
        datad => \I2C_Register_Address_Stream~10_combout\,
        combout => \I2S_ACTIVE_IN~3_combout\);

I2S_ACTIVE_IN : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~combout\,
        datain => \I2S_ACTIVE_IN~3_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \I2S_ACTIVE_IN~regout\);

\u3|Active_Module[0]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \I2S_ACTIVE_IN~regout\,
        datain => \u3|Active_Module[0]~feeder_combout\,
        aclr => \ALT_INV_I2S_ACTIVE_IN~regout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u3|Active_Module\(0));

\u3|I2S_Clock~1\ : cycloneii_lcell_comb
-- Equation(s):
-- \u3|I2S_Clock~1_combout\ = \u3|I2S_Clock~regout\ $ (\u3|Equal0~7_combout\ & \u3|Active_Module\(0))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0101101011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u3|Equal0~7_combout\,
        datac => \u3|I2S_Clock~regout\,
        datad => \u3|Active_Module\(0),
        combout => \u3|I2S_Clock~1_combout\);

\u3|I2S_Clock\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~combout\,
        datain => \u3|I2S_Clock~1_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u3|I2S_Clock~regout\);

\u3|I2S_Clock~clkctrl\ : cycloneii_clkctrl
-- pragma translate_off
GENERIC MAP (
        clock_type => "global clock",
        ena_register_mode => "falling edge")
-- pragma translate_on
PORT MAP (
        inclk => \u3|I2S_Clock~clkctrl_INCLK_bus\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        outclk => \u3|I2S_Clock~clkctrl_outclk\);

\u6|ADPCM_Decoder_State_Counter[0]~4\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|ADPCM_Decoder_State_Counter[0]~4_combout\ = !\u6|ADPCM_Decoder_State_Counter\(0)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111100001111",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|ADPCM_Decoder_State_Counter\(0),
        combout => \u6|ADPCM_Decoder_State_Counter[0]~4_combout\);

\u5|ADPCM_Decoder_State_Counter~3\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|ADPCM_Decoder_State_Counter~3_combout\ = \u6|ADPCM_Decoder_State_Counter\(2) & (!\u6|ADPCM_Decoder_State_Counter\(0)) # !\u6|ADPCM_Decoder_State_Counter\(2) & \u6|ADPCM_Decoder_State_Counter\(1) & \u6|ADPCM_Decoder_State_Counter\(0)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000101011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|ADPCM_Decoder_State_Counter\(1),
        datac => \u6|ADPCM_Decoder_State_Counter\(2),
        datad => \u6|ADPCM_Decoder_State_Counter\(0),
        combout => \u5|ADPCM_Decoder_State_Counter~3_combout\);

\u6|ADPCM_Decoder_State_Counter[2]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u5|ADPCM_Decoder_State_Counter~3_combout\,
        ena => \u5|process_0~0_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u6|ADPCM_Decoder_State_Counter\(2));

\u5|ADPCM_Decoder_State_Counter~4\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|ADPCM_Decoder_State_Counter~4_combout\ = \u6|ADPCM_Decoder_State_Counter\(0) & !\u6|ADPCM_Decoder_State_Counter\(1) & !\u6|ADPCM_Decoder_State_Counter\(2) # !\u6|ADPCM_Decoder_State_Counter\(0) & \u6|ADPCM_Decoder_State_Counter\(1)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0101000001011010",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|ADPCM_Decoder_State_Counter\(0),
        datac => \u6|ADPCM_Decoder_State_Counter\(1),
        datad => \u6|ADPCM_Decoder_State_Counter\(2),
        combout => \u5|ADPCM_Decoder_State_Counter~4_combout\);

\u6|ADPCM_Decoder_State_Counter[1]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u5|ADPCM_Decoder_State_Counter~4_combout\,
        ena => \u5|process_0~0_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u6|ADPCM_Decoder_State_Counter\(1));

\u5|PCM_DATA_OUT[15]~4\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|PCM_DATA_OUT[15]~4_combout\ = !\u6|ADPCM_Decoder_State_Counter\(1) & \u6|ADPCM_Decoder_State_Counter\(2)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|ADPCM_Decoder_State_Counter\(1),
        datad => \u6|ADPCM_Decoder_State_Counter\(2),
        combout => \u5|PCM_DATA_OUT[15]~4_combout\);

\ADPCM_DECODER_ACTIVE~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \ADPCM_DECODER_ACTIVE~0_combout\ = !\ADPCM_DECODER_ACTIVE~regout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111100001111",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \ADPCM_DECODER_ACTIVE~regout\,
        combout => \ADPCM_DECODER_ACTIVE~0_combout\);

ADPCM_DECODER_ACTIVE : cycloneii_lcell_ff
PORT MAP (
        clk => \u3|I2S_PCM_DATA_ACCESS_OUT~clkctrl_outclk\,
        datain => \ADPCM_DECODER_ACTIVE~0_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \ADPCM_DECODER_ACTIVE~regout\);

\u6|Active_Module~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Active_Module~2_combout\ = \u6|ADPCM_Decoder_State_Counter\(0) & (\u5|PCM_DATA_OUT[15]~4_combout\ & (\ADPCM_DECODER_ACTIVE~regout\) # !\u5|PCM_DATA_OUT[15]~4_combout\ & \u6|Active_Module~regout\) # !\u6|ADPCM_Decoder_State_Counter\(0) & 
-- (\u6|Active_Module~regout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111100001110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|ADPCM_Decoder_State_Counter\(0),
        datab => \u5|PCM_DATA_OUT[15]~4_combout\,
        datac => \u6|Active_Module~regout\,
        datad => \ADPCM_DECODER_ACTIVE~regout\,
        combout => \u6|Active_Module~2_combout\);

\u6|Active_Module\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u6|Active_Module~2_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u6|Active_Module~regout\);

\u5|process_0~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|process_0~0_combout\ = \ADPCM_DECODER_ACTIVE~regout\ $ \u6|Active_Module~regout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0101101001011010",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \ADPCM_DECODER_ACTIVE~regout\,
        datac => \u6|Active_Module~regout\,
        combout => \u5|process_0~0_combout\);

\u6|ADPCM_Decoder_State_Counter[0]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u6|ADPCM_Decoder_State_Counter[0]~4_combout\,
        ena => \u5|process_0~0_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u6|ADPCM_Decoder_State_Counter\(0));

\u5|Mux60~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Mux60~0_combout\ = \u6|ADPCM_Decoder_State_Counter\(1) & (\u6|ADPCM_Decoder_State_Counter\(2) # !\u6|ADPCM_Decoder_State_Counter\(0)) # !\u6|ADPCM_Decoder_State_Counter\(1) & (\u6|ADPCM_Decoder_State_Counter\(0) # !\u6|ADPCM_Decoder_State_Counter\(2))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111101001011111",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|ADPCM_Decoder_State_Counter\(1),
        datac => \u6|ADPCM_Decoder_State_Counter\(0),
        datad => \u6|ADPCM_Decoder_State_Counter\(2),
        combout => \u5|Mux60~0_combout\);

\u6|Add19~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add19~0_combout\ = \u6|PCM_Data\(0) $ VCC
-- \u6|Add19~1\ = CARRY(\u6|PCM_Data\(0))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011001111001100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u6|PCM_Data\(0),
        datad => VCC,
        combout => \u6|Add19~0_combout\,
        cout => \u6|Add19~1\);

\u6|PCM_Data[0]~173\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|PCM_Data[0]~173_combout\ = \u5|PCM_Data[15]~182_combout\ & !\u5|Mux60~0_combout\ & \u6|Add19~0_combout\ & \u6|ADPCM_Decoder_State_Counter\(2)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0010000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|PCM_Data[15]~182_combout\,
        datab => \u5|Mux60~0_combout\,
        datac => \u6|Add19~0_combout\,
        datad => \u6|ADPCM_Decoder_State_Counter\(2),
        combout => \u6|PCM_Data[0]~173_combout\);

\u6|Add2~6\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add2~6_cout\ = CARRY(\ADPCM_DECODER_DATA_RIGHT~regout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000010101010",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \ADPCM_DECODER_DATA_RIGHT~regout\,
        datad => VCC,
        cout => \u6|Add2~6_cout\);

\u6|Add2~7\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add2~7_combout\ = \u6|Add2~4_combout\ & (\u6|Last_PCM_Data\(0) & !\u6|Add2~6_cout\ # !\u6|Last_PCM_Data\(0) & \u6|Add2~6_cout\ & VCC) # !\u6|Add2~4_combout\ & (\u6|Last_PCM_Data\(0) & (\u6|Add2~6_cout\ # GND) # !\u6|Last_PCM_Data\(0) & 
-- !\u6|Add2~6_cout\)
-- \u6|Add2~8\ = CARRY(\u6|Add2~4_combout\ & \u6|Last_PCM_Data\(0) & !\u6|Add2~6_cout\ # !\u6|Add2~4_combout\ & (\u6|Last_PCM_Data\(0) # !\u6|Add2~6_cout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0110100101001101",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Add2~4_combout\,
        datab => \u6|Last_PCM_Data\(0),
        datad => VCC,
        cin => \u6|Add2~6_cout\,
        combout => \u6|Add2~7_combout\,
        cout => \u6|Add2~8\);

\u6|Last_PCM_Data[0]~17\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Last_PCM_Data[0]~17_combout\ = !\u6|Add2~7_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011111111",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datad => \u6|Add2~7_combout\,
        combout => \u6|Last_PCM_Data[0]~17_combout\);

\u5|Last_PCM_Data[15]~17\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Last_PCM_Data[15]~17_combout\ = \u6|ADPCM_Decoder_State_Counter\(1) & \u5|process_0~0_combout\ & !\u6|ADPCM_Decoder_State_Counter\(0) & !\u6|ADPCM_Decoder_State_Counter\(2)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000000001000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|ADPCM_Decoder_State_Counter\(1),
        datab => \u5|process_0~0_combout\,
        datac => \u6|ADPCM_Decoder_State_Counter\(0),
        datad => \u6|ADPCM_Decoder_State_Counter\(2),
        combout => \u5|Last_PCM_Data[15]~17_combout\);

\u6|Last_PCM_Data[0]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u6|Last_PCM_Data[0]~17_combout\,
        ena => \u5|Last_PCM_Data[15]~17_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u6|Last_PCM_Data\(0));

\u5|PCM_Data[15]~182\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|PCM_Data[15]~182_combout\ = \u5|process_0~0_combout\ & (\u6|ADPCM_Decoder_State_Counter\(1) & \u6|ADPCM_Decoder_State_Counter\(0) & !\u6|ADPCM_Decoder_State_Counter\(2) # !\u6|ADPCM_Decoder_State_Counter\(1) & !\u6|ADPCM_Decoder_State_Counter\(0) & 
-- \u6|ADPCM_Decoder_State_Counter\(2))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000010010000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|ADPCM_Decoder_State_Counter\(1),
        datab => \u5|process_0~0_combout\,
        datac => \u6|ADPCM_Decoder_State_Counter\(0),
        datad => \u6|ADPCM_Decoder_State_Counter\(2),
        combout => \u5|PCM_Data[15]~182_combout\);

\u6|PCM_Data[0]~172\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|PCM_Data[0]~172_combout\ = \u5|PCM_Data[0]~183_combout\ & (!\u5|PCM_Data[15]~182_combout\ & \u6|PCM_Data\(0) # !\u6|Last_PCM_Data\(0)) # !\u5|PCM_Data[0]~183_combout\ & (!\u5|PCM_Data[15]~182_combout\ & \u6|PCM_Data\(0))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0010111100100010",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|PCM_Data[0]~183_combout\,
        datab => \u6|Last_PCM_Data\(0),
        datac => \u5|PCM_Data[15]~182_combout\,
        datad => \u6|PCM_Data\(0),
        combout => \u6|PCM_Data[0]~172_combout\);

\u6|Mux58~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Mux58~0_combout\ = \u6|Add19~4_combout\ & \u6|LessThan17~4_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010101000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Add19~4_combout\,
        datad => \u6|LessThan17~4_combout\,
        combout => \u6|Mux58~0_combout\);

\u4|Flash_Memory_Counter[0]~5\ : cycloneii_lcell_comb
-- Equation(s):
-- \u4|Flash_Memory_Counter[0]~5_combout\ = !\u4|Flash_Memory_Counter\(0)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111100001111",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u4|Flash_Memory_Counter\(0),
        combout => \u4|Flash_Memory_Counter[0]~5_combout\);

\u4|Flash_Memory_Counter[0]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \u4|ALT_INV_Flash_Memory_Clock~clkctrl_outclk\,
        datain => \u4|Flash_Memory_Counter[0]~5_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u4|Flash_Memory_Counter\(0));

\u4|Flash_Memory_Counter~3\ : cycloneii_lcell_comb
-- Equation(s):
-- \u4|Flash_Memory_Counter~3_combout\ = \u4|Flash_Memory_Counter\(0) & !\u4|Flash_Memory_Counter\(1) & !\u4|Flash_Memory_Counter\(2) # !\u4|Flash_Memory_Counter\(0) & \u4|Flash_Memory_Counter\(1)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011000000111100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u4|Flash_Memory_Counter\(0),
        datac => \u4|Flash_Memory_Counter\(1),
        datad => \u4|Flash_Memory_Counter\(2),
        combout => \u4|Flash_Memory_Counter~3_combout\);

\u4|Flash_Memory_Counter[1]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \u4|ALT_INV_Flash_Memory_Clock~clkctrl_outclk\,
        datain => \u4|Flash_Memory_Counter~3_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u4|Flash_Memory_Counter\(1));

\u4|Flash_Memory_Counter~4\ : cycloneii_lcell_comb
-- Equation(s):
-- \u4|Flash_Memory_Counter~4_combout\ = \u4|Flash_Memory_Counter\(0) & !\u4|Flash_Memory_Counter\(2) & \u4|Flash_Memory_Counter\(1) # !\u4|Flash_Memory_Counter\(0) & \u4|Flash_Memory_Counter\(2)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110000110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u4|Flash_Memory_Counter\(0),
        datac => \u4|Flash_Memory_Counter\(2),
        datad => \u4|Flash_Memory_Counter\(1),
        combout => \u4|Flash_Memory_Counter~4_combout\);

\u4|Flash_Memory_Counter[2]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \u4|ALT_INV_Flash_Memory_Clock~clkctrl_outclk\,
        datain => \u4|Flash_Memory_Counter~4_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u4|Flash_Memory_Counter\(2));

\u4|Mux33~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u4|Mux33~0_combout\ = !\u4|Flash_Memory_Counter\(0) & \u4|Flash_Memory_Counter\(2)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011000000110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u4|Flash_Memory_Counter\(0),
        datac => \u4|Flash_Memory_Counter\(2),
        combout => \u4|Mux33~0_combout\);

\u4|FLASH_MEMORY_DATA_OUT[2]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \u4|ALT_INV_Flash_Memory_Clock~clkctrl_outclk\,
        sdata => \FLASH_MEMORY_DATA_INOUT[2]~5\,
        sload => VCC,
        ena => \u4|Mux33~0_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u4|FLASH_MEMORY_DATA_OUT\(2));

\u4|FLASH_MEMORY_DATA_OUT[6]~feeder\ : cycloneii_lcell_comb
-- Equation(s):
-- \u4|FLASH_MEMORY_DATA_OUT[6]~feeder_combout\ = \FLASH_MEMORY_DATA_INOUT[6]~1\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datad => \FLASH_MEMORY_DATA_INOUT[6]~1\,
        combout => \u4|FLASH_MEMORY_DATA_OUT[6]~feeder_combout\);

\u4|FLASH_MEMORY_DATA_OUT[6]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \u4|ALT_INV_Flash_Memory_Clock~clkctrl_outclk\,
        datain => \u4|FLASH_MEMORY_DATA_OUT[6]~feeder_combout\,
        ena => \u4|Mux33~0_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u4|FLASH_MEMORY_DATA_OUT\(6));

\ADPCM_Bit_Counter[1]~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \ADPCM_Bit_Counter[1]~2_combout\ = !ADPCM_Bit_Counter(1)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111100001111",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => ADPCM_Bit_Counter(1),
        combout => \ADPCM_Bit_Counter[1]~2_combout\);

\ADPCM_Bit_Counter[1]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \u3|I2S_PCM_DATA_ACCESS_OUT~clkctrl_outclk\,
        datain => \ADPCM_Bit_Counter[1]~2_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => ADPCM_Bit_Counter(1));

\Add2~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \Add2~0_combout\ = ADPCM_Bit_Counter(2) $ ADPCM_Bit_Counter(1)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111111110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => ADPCM_Bit_Counter(2),
        datad => ADPCM_Bit_Counter(1),
        combout => \Add2~0_combout\);

\ADPCM_Bit_Counter[2]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \u3|I2S_PCM_DATA_ACCESS_OUT~clkctrl_outclk\,
        datain => \Add2~0_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => ADPCM_Bit_Counter(2));

\u4|FLASH_MEMORY_DATA_OUT[0]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \u4|ALT_INV_Flash_Memory_Clock~clkctrl_outclk\,
        sdata => \FLASH_MEMORY_DATA_INOUT[0]~7\,
        sload => VCC,
        ena => \u4|Mux33~0_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u4|FLASH_MEMORY_DATA_OUT\(0));

\u4|FLASH_MEMORY_DATA_OUT[4]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \u4|ALT_INV_Flash_Memory_Clock~clkctrl_outclk\,
        sdata => \FLASH_MEMORY_DATA_INOUT[4]~3\,
        sload => VCC,
        ena => \u4|Mux33~0_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u4|FLASH_MEMORY_DATA_OUT\(4));

\Mux1~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \Mux1~0_combout\ = ADPCM_Bit_Counter(1) & (ADPCM_Bit_Counter(2) & \u4|FLASH_MEMORY_DATA_OUT\(0) # !ADPCM_Bit_Counter(2) & (\u4|FLASH_MEMORY_DATA_OUT\(4))) # !ADPCM_Bit_Counter(1) & !ADPCM_Bit_Counter(2)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1011001110010001",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => ADPCM_Bit_Counter(1),
        datab => ADPCM_Bit_Counter(2),
        datac => \u4|FLASH_MEMORY_DATA_OUT\(0),
        datad => \u4|FLASH_MEMORY_DATA_OUT\(4),
        combout => \Mux1~0_combout\);

\Mux1~1\ : cycloneii_lcell_comb
-- Equation(s):
-- \Mux1~1_combout\ = ADPCM_Bit_Counter(1) & (\Mux1~0_combout\) # !ADPCM_Bit_Counter(1) & (\Mux1~0_combout\ & (\u4|FLASH_MEMORY_DATA_OUT\(6)) # !\Mux1~0_combout\ & \u4|FLASH_MEMORY_DATA_OUT\(2))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111101001000100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => ADPCM_Bit_Counter(1),
        datab => \u4|FLASH_MEMORY_DATA_OUT\(2),
        datac => \u4|FLASH_MEMORY_DATA_OUT\(6),
        datad => \Mux1~0_combout\,
        combout => \Mux1~1_combout\);

ADPCM_DECODER_DATA_RIGHT : cycloneii_lcell_ff
PORT MAP (
        clk => \u3|I2S_PCM_DATA_ACCESS_OUT~clkctrl_outclk\,
        datain => \Mux1~1_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \ADPCM_DECODER_DATA_RIGHT~regout\);

\~GND\ : cycloneii_lcell_comb
-- Equation(s):
-- \~GND~combout\ = GND

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        combout => \~GND~combout\);

\u5|PCM_Data_Difference[0]~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|PCM_Data_Difference[0]~0_combout\ = !\u6|ADPCM_Decoder_State_Counter\(1) & !\u6|ADPCM_Decoder_State_Counter\(2) & (\u6|Active_Module~regout\ $ \ADPCM_DECODER_ACTIVE~regout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000000010100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|ADPCM_Decoder_State_Counter\(1),
        datab => \u6|Active_Module~regout\,
        datac => \ADPCM_DECODER_ACTIVE~regout\,
        datad => \u6|ADPCM_Decoder_State_Counter\(2),
        combout => \u5|PCM_Data_Difference[0]~0_combout\);

\u6|Last_ADPCM_Data\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        sdata => \ADPCM_DECODER_DATA_RIGHT~regout\,
        sload => VCC,
        ena => \u5|Last_PCM_Data[15]~17_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u6|Last_ADPCM_Data~regout\);

\u6|process_0~1\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|process_0~1_combout\ = \ADPCM_DECODER_DATA_RIGHT~regout\ $ \u6|Last_ADPCM_Data~regout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0101101001011010",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \ADPCM_DECODER_DATA_RIGHT~regout\,
        datac => \u6|Last_ADPCM_Data~regout\,
        combout => \u6|process_0~1_combout\);

\u6|ADPCM_Decoder_Step_Size_Table_Pointer[3]~50\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|ADPCM_Decoder_Step_Size_Table_Pointer[3]~50_combout\ = \u6|ADPCM_Decoder_Step_Size_Table_Pointer[3]~_Duplicate_2_regout\ & (\u6|process_0~1_combout\ & \u6|ADPCM_Decoder_Step_Size_Table_Pointer[2]~49\ & VCC # !\u6|process_0~1_combout\ & 
-- !\u6|ADPCM_Decoder_Step_Size_Table_Pointer[2]~49\) # !\u6|ADPCM_Decoder_Step_Size_Table_Pointer[3]~_Duplicate_2_regout\ & (\u6|process_0~1_combout\ & !\u6|ADPCM_Decoder_Step_Size_Table_Pointer[2]~49\ # !\u6|process_0~1_combout\ & 
-- (\u6|ADPCM_Decoder_Step_Size_Table_Pointer[2]~49\ # GND))
-- \u6|ADPCM_Decoder_Step_Size_Table_Pointer[3]~51\ = CARRY(\u6|ADPCM_Decoder_Step_Size_Table_Pointer[3]~_Duplicate_2_regout\ & !\u6|process_0~1_combout\ & !\u6|ADPCM_Decoder_Step_Size_Table_Pointer[2]~49\ # 
-- !\u6|ADPCM_Decoder_Step_Size_Table_Pointer[3]~_Duplicate_2_regout\ & (!\u6|ADPCM_Decoder_Step_Size_Table_Pointer[2]~49\ # !\u6|process_0~1_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1001011000010111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[3]~_Duplicate_2_regout\,
        datab => \u6|process_0~1_combout\,
        datad => VCC,
        cin => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[2]~49\,
        combout => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[3]~50_combout\,
        cout => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[3]~51\);

\u6|ADPCM_Decoder_Step_Size_Table_Pointer[4]~52\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|ADPCM_Decoder_Step_Size_Table_Pointer[4]~52_combout\ = (\u6|ADPCM_Decoder_Step_Size_Table_Pointer[4]~_Duplicate_2_regout\ $ \u6|process_0~1_combout\ $ !\u6|ADPCM_Decoder_Step_Size_Table_Pointer[3]~51\) # GND
-- \u6|ADPCM_Decoder_Step_Size_Table_Pointer[4]~53\ = CARRY(\u6|ADPCM_Decoder_Step_Size_Table_Pointer[4]~_Duplicate_2_regout\ & (\u6|process_0~1_combout\ # !\u6|ADPCM_Decoder_Step_Size_Table_Pointer[3]~51\) # 
-- !\u6|ADPCM_Decoder_Step_Size_Table_Pointer[4]~_Duplicate_2_regout\ & \u6|process_0~1_combout\ & !\u6|ADPCM_Decoder_Step_Size_Table_Pointer[3]~51\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0110100110001110",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[4]~_Duplicate_2_regout\,
        datab => \u6|process_0~1_combout\,
        datad => VCC,
        cin => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[3]~51\,
        combout => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[4]~52_combout\,
        cout => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[4]~53\);

\u6|ADPCM_Decoder_Step_Size_Table_Pointer[5]~54\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|ADPCM_Decoder_Step_Size_Table_Pointer[5]~54_combout\ = \u6|ADPCM_Decoder_Step_Size_Table_Pointer[5]~_Duplicate_2_regout\ & (\u6|process_0~1_combout\ & \u6|ADPCM_Decoder_Step_Size_Table_Pointer[4]~53\ & VCC # !\u6|process_0~1_combout\ & 
-- !\u6|ADPCM_Decoder_Step_Size_Table_Pointer[4]~53\) # !\u6|ADPCM_Decoder_Step_Size_Table_Pointer[5]~_Duplicate_2_regout\ & (\u6|process_0~1_combout\ & !\u6|ADPCM_Decoder_Step_Size_Table_Pointer[4]~53\ # !\u6|process_0~1_combout\ & 
-- (\u6|ADPCM_Decoder_Step_Size_Table_Pointer[4]~53\ # GND))
-- \u6|ADPCM_Decoder_Step_Size_Table_Pointer[5]~55\ = CARRY(\u6|ADPCM_Decoder_Step_Size_Table_Pointer[5]~_Duplicate_2_regout\ & !\u6|process_0~1_combout\ & !\u6|ADPCM_Decoder_Step_Size_Table_Pointer[4]~53\ # 
-- !\u6|ADPCM_Decoder_Step_Size_Table_Pointer[5]~_Duplicate_2_regout\ & (!\u6|ADPCM_Decoder_Step_Size_Table_Pointer[4]~53\ # !\u6|process_0~1_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1001011000010111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[5]~_Duplicate_2_regout\,
        datab => \u6|process_0~1_combout\,
        datad => VCC,
        cin => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[4]~53\,
        combout => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[5]~54_combout\,
        cout => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[5]~55\);

\u6|ADPCM_Decoder_Step_Size_Table_Pointer[6]~56\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|ADPCM_Decoder_Step_Size_Table_Pointer[6]~56_combout\ = (\u6|ADPCM_Decoder_Step_Size_Table_Pointer[6]~_Duplicate_2_regout\ $ \u6|process_0~1_combout\ $ !\u6|ADPCM_Decoder_Step_Size_Table_Pointer[5]~55\) # GND
-- \u6|ADPCM_Decoder_Step_Size_Table_Pointer[6]~57\ = CARRY(\u6|ADPCM_Decoder_Step_Size_Table_Pointer[6]~_Duplicate_2_regout\ & (\u6|process_0~1_combout\ # !\u6|ADPCM_Decoder_Step_Size_Table_Pointer[5]~55\) # 
-- !\u6|ADPCM_Decoder_Step_Size_Table_Pointer[6]~_Duplicate_2_regout\ & \u6|process_0~1_combout\ & !\u6|ADPCM_Decoder_Step_Size_Table_Pointer[5]~55\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0110100110001110",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[6]~_Duplicate_2_regout\,
        datab => \u6|process_0~1_combout\,
        datad => VCC,
        cin => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[5]~55\,
        combout => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[6]~56_combout\,
        cout => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[6]~57\);

\u6|ADPCM_Decoder_Step_Size_Table_Pointer[7]~58\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|ADPCM_Decoder_Step_Size_Table_Pointer[7]~58_combout\ = \u6|ADPCM_Decoder_Step_Size_Table_Pointer[7]~_Duplicate_2_regout\ & (\u6|process_0~1_combout\ & \u6|ADPCM_Decoder_Step_Size_Table_Pointer[6]~57\ & VCC # !\u6|process_0~1_combout\ & 
-- !\u6|ADPCM_Decoder_Step_Size_Table_Pointer[6]~57\) # !\u6|ADPCM_Decoder_Step_Size_Table_Pointer[7]~_Duplicate_2_regout\ & (\u6|process_0~1_combout\ & !\u6|ADPCM_Decoder_Step_Size_Table_Pointer[6]~57\ # !\u6|process_0~1_combout\ & 
-- (\u6|ADPCM_Decoder_Step_Size_Table_Pointer[6]~57\ # GND))
-- \u6|ADPCM_Decoder_Step_Size_Table_Pointer[7]~59\ = CARRY(\u6|ADPCM_Decoder_Step_Size_Table_Pointer[7]~_Duplicate_2_regout\ & !\u6|process_0~1_combout\ & !\u6|ADPCM_Decoder_Step_Size_Table_Pointer[6]~57\ # 
-- !\u6|ADPCM_Decoder_Step_Size_Table_Pointer[7]~_Duplicate_2_regout\ & (!\u6|ADPCM_Decoder_Step_Size_Table_Pointer[6]~57\ # !\u6|process_0~1_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1001011000010111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[7]~_Duplicate_2_regout\,
        datab => \u6|process_0~1_combout\,
        datad => VCC,
        cin => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[6]~57\,
        combout => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[7]~58_combout\,
        cout => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[7]~59\);

\u6|ADPCM_Decoder_Step_Size_Table_Pointer[7]~_Duplicate_2\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[7]~58_combout\,
        ena => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[9]~45_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[7]~_Duplicate_2_regout\);

\u6|ADPCM_Decoder_Step_Size_Table_Pointer[8]~60\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|ADPCM_Decoder_Step_Size_Table_Pointer[8]~60_combout\ = (\u6|ADPCM_Decoder_Step_Size_Table_Pointer[8]~_Duplicate_2_regout\ $ \u6|process_0~1_combout\ $ !\u6|ADPCM_Decoder_Step_Size_Table_Pointer[7]~59\) # GND
-- \u6|ADPCM_Decoder_Step_Size_Table_Pointer[8]~61\ = CARRY(\u6|ADPCM_Decoder_Step_Size_Table_Pointer[8]~_Duplicate_2_regout\ & (\u6|process_0~1_combout\ # !\u6|ADPCM_Decoder_Step_Size_Table_Pointer[7]~59\) # 
-- !\u6|ADPCM_Decoder_Step_Size_Table_Pointer[8]~_Duplicate_2_regout\ & \u6|process_0~1_combout\ & !\u6|ADPCM_Decoder_Step_Size_Table_Pointer[7]~59\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0110100110001110",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[8]~_Duplicate_2_regout\,
        datab => \u6|process_0~1_combout\,
        datad => VCC,
        cin => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[7]~59\,
        combout => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[8]~60_combout\,
        cout => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[8]~61\);

\u6|ADPCM_Decoder_Step_Size_Table_Pointer[8]~_Duplicate_2\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[8]~60_combout\,
        ena => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[9]~45_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[8]~_Duplicate_2_regout\);

\u6|ADPCM_Decoder_Step_Size_Table_Pointer[6]~_Duplicate_2\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[6]~56_combout\,
        ena => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[9]~45_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[6]~_Duplicate_2_regout\);

\u6|Add0~1\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add0~1_combout\ = !\u6|ADPCM_Decoder_Step_Size_Table_Pointer[6]~_Duplicate_2_regout\ # !\u6|ADPCM_Decoder_Step_Size_Table_Pointer[8]~_Duplicate_2_regout\ # !\u6|ADPCM_Decoder_Step_Size_Table_Pointer[7]~_Duplicate_2_regout\ # 
-- !\u6|ADPCM_Decoder_Step_Size_Table_Pointer[5]~_Duplicate_2_regout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0111111111111111",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[5]~_Duplicate_2_regout\,
        datab => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[7]~_Duplicate_2_regout\,
        datac => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[8]~_Duplicate_2_regout\,
        datad => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[6]~_Duplicate_2_regout\,
        combout => \u6|Add0~1_combout\);

\u6|Add0~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add0~2_combout\ = \u6|LessThan0~0_combout\ # \u6|Add0~1_combout\ # \u6|process_0~1_combout\ # !\u6|ADPCM_Decoder_Step_Size_Table_Pointer[9]~_Duplicate_2_regout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111111101",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[9]~_Duplicate_2_regout\,
        datab => \u6|LessThan0~0_combout\,
        datac => \u6|Add0~1_combout\,
        datad => \u6|process_0~1_combout\,
        combout => \u6|Add0~2_combout\);

\u6|ADPCM_Decoder_Step_Size_Table_Pointer[0]~40\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|ADPCM_Decoder_Step_Size_Table_Pointer[0]~40_combout\ = \u6|ADPCM_Decoder_Step_Size_Table_Pointer[0]~_Duplicate_2_regout\ & (\u6|Add0~2_combout\ $ VCC) # !\u6|ADPCM_Decoder_Step_Size_Table_Pointer[0]~_Duplicate_2_regout\ & \u6|Add0~2_combout\ & VCC
-- \u6|ADPCM_Decoder_Step_Size_Table_Pointer[0]~41\ = CARRY(\u6|ADPCM_Decoder_Step_Size_Table_Pointer[0]~_Duplicate_2_regout\ & \u6|Add0~2_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0110011010001000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[0]~_Duplicate_2_regout\,
        datab => \u6|Add0~2_combout\,
        datad => VCC,
        combout => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[0]~40_combout\,
        cout => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[0]~41\);

\u6|ADPCM_Decoder_Step_Size_Table_Pointer[1]~46\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|ADPCM_Decoder_Step_Size_Table_Pointer[1]~46_combout\ = \u6|ADPCM_Decoder_Step_Size_Table_Pointer[1]~_Duplicate_2_regout\ & (\u6|process_0~1_combout\ & \u6|ADPCM_Decoder_Step_Size_Table_Pointer[0]~41\ & VCC # !\u6|process_0~1_combout\ & 
-- !\u6|ADPCM_Decoder_Step_Size_Table_Pointer[0]~41\) # !\u6|ADPCM_Decoder_Step_Size_Table_Pointer[1]~_Duplicate_2_regout\ & (\u6|process_0~1_combout\ & !\u6|ADPCM_Decoder_Step_Size_Table_Pointer[0]~41\ # !\u6|process_0~1_combout\ & 
-- (\u6|ADPCM_Decoder_Step_Size_Table_Pointer[0]~41\ # GND))
-- \u6|ADPCM_Decoder_Step_Size_Table_Pointer[1]~47\ = CARRY(\u6|ADPCM_Decoder_Step_Size_Table_Pointer[1]~_Duplicate_2_regout\ & !\u6|process_0~1_combout\ & !\u6|ADPCM_Decoder_Step_Size_Table_Pointer[0]~41\ # 
-- !\u6|ADPCM_Decoder_Step_Size_Table_Pointer[1]~_Duplicate_2_regout\ & (!\u6|ADPCM_Decoder_Step_Size_Table_Pointer[0]~41\ # !\u6|process_0~1_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1001011000010111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[1]~_Duplicate_2_regout\,
        datab => \u6|process_0~1_combout\,
        datad => VCC,
        cin => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[0]~41\,
        combout => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[1]~46_combout\,
        cout => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[1]~47\);

\u6|ADPCM_Decoder_Step_Size_Table_Pointer[2]~48\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|ADPCM_Decoder_Step_Size_Table_Pointer[2]~48_combout\ = (\u6|ADPCM_Decoder_Step_Size_Table_Pointer[2]~_Duplicate_2_regout\ $ \u6|process_0~1_combout\ $ !\u6|ADPCM_Decoder_Step_Size_Table_Pointer[1]~47\) # GND
-- \u6|ADPCM_Decoder_Step_Size_Table_Pointer[2]~49\ = CARRY(\u6|ADPCM_Decoder_Step_Size_Table_Pointer[2]~_Duplicate_2_regout\ & (\u6|process_0~1_combout\ # !\u6|ADPCM_Decoder_Step_Size_Table_Pointer[1]~47\) # 
-- !\u6|ADPCM_Decoder_Step_Size_Table_Pointer[2]~_Duplicate_2_regout\ & \u6|process_0~1_combout\ & !\u6|ADPCM_Decoder_Step_Size_Table_Pointer[1]~47\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0110100110001110",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[2]~_Duplicate_2_regout\,
        datab => \u6|process_0~1_combout\,
        datad => VCC,
        cin => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[1]~47\,
        combout => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[2]~48_combout\,
        cout => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[2]~49\);

\u6|ADPCM_Decoder_Step_Size_Table_Pointer[3]~_Duplicate_2\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[3]~50_combout\,
        ena => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[9]~45_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[3]~_Duplicate_2_regout\);

\u6|LessThan0~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|LessThan0~0_combout\ = !\u6|ADPCM_Decoder_Step_Size_Table_Pointer[4]~_Duplicate_2_regout\ & !\u6|ADPCM_Decoder_Step_Size_Table_Pointer[3]~_Duplicate_2_regout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000001010101",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[4]~_Duplicate_2_regout\,
        datad => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[3]~_Duplicate_2_regout\,
        combout => \u6|LessThan0~0_combout\);

\u6|ADPCM_Decoder_Step_Size_Table_Pointer[4]~43\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|ADPCM_Decoder_Step_Size_Table_Pointer[4]~43_combout\ = \u6|ADPCM_Decoder_Step_Size_Table_Pointer[9]~_Duplicate_2_regout\ # \u6|ADPCM_Decoder_Step_Size_Table_Pointer[7]~_Duplicate_2_regout\ # 
-- \u6|ADPCM_Decoder_Step_Size_Table_Pointer[8]~_Duplicate_2_regout\ # \u6|ADPCM_Decoder_Step_Size_Table_Pointer[6]~_Duplicate_2_regout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111111110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[9]~_Duplicate_2_regout\,
        datab => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[7]~_Duplicate_2_regout\,
        datac => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[8]~_Duplicate_2_regout\,
        datad => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[6]~_Duplicate_2_regout\,
        combout => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[4]~43_combout\);

\u6|ADPCM_Decoder_Step_Size_Table_Pointer[4]~44\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|ADPCM_Decoder_Step_Size_Table_Pointer[4]~44_combout\ = \u6|ADPCM_Decoder_Step_Size_Table_Pointer[4]~42_combout\ # \u6|ADPCM_Decoder_Step_Size_Table_Pointer[4]~43_combout\ # !\u6|process_0~1_combout\ # !\u6|LessThan0~0_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111101111111111",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[4]~42_combout\,
        datab => \u6|LessThan0~0_combout\,
        datac => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[4]~43_combout\,
        datad => \u6|process_0~1_combout\,
        combout => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[4]~44_combout\);

\u6|ADPCM_Decoder_Step_Size_Table_Pointer[9]~45\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|ADPCM_Decoder_Step_Size_Table_Pointer[9]~45_combout\ = \u6|ADPCM_Decoder_State_Counter\(0) & \u5|PCM_Data_Difference[0]~0_combout\ & \u6|ADPCM_Decoder_Step_Size_Table_Pointer[4]~44_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u6|ADPCM_Decoder_State_Counter\(0),
        datac => \u5|PCM_Data_Difference[0]~0_combout\,
        datad => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[4]~44_combout\,
        combout => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[9]~45_combout\);

\u6|ADPCM_Decoder_Step_Size_Table_Pointer[9]~_Duplicate_2\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[9]~62_combout\,
        ena => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[9]~45_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[9]~_Duplicate_2_regout\);

\u6|ADPCM_Decoder_Step_Size_Table_Pointer[9]~62\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|ADPCM_Decoder_Step_Size_Table_Pointer[9]~62_combout\ = \u6|ADPCM_Decoder_Step_Size_Table_Pointer[9]~_Duplicate_2_regout\ $ \u6|ADPCM_Decoder_Step_Size_Table_Pointer[8]~61\ $ \u6|process_0~1_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100001100111100",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[9]~_Duplicate_2_regout\,
        datad => \u6|process_0~1_combout\,
        cin => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[8]~61\,
        combout => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[9]~62_combout\);

\u6|Mult0|auto_generated|mac_mult1\ : cycloneii_mac_mult
-- pragma translate_off
GENERIC MAP (
        dataa_clock => "0",
        dataa_width => 18,
        datab_clock => "0",
        datab_width => 18,
        signa_clock => "none",
        signb_clock => "none")
-- pragma translate_on
PORT MAP (
        signa => \~GND~combout\,
        signb => \~GND~combout\,
        clk => \CLOCK_IN~clkctrl_outclk\,
        aclr => GND,
        ena => \u6|ADPCM_Decoder_Step_Size_Table_Pointer[9]~45_combout\,
        dataa => \u6|Mult0|auto_generated|mac_mult1_DATAA_bus\,
        datab => \u6|Mult0|auto_generated|mac_mult1_DATAB_bus\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        dataout => \u6|Mult0|auto_generated|mac_mult1_DATAOUT_bus\);

\u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[2]~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[2]~0_combout\ = \u6|Mult0|auto_generated|mac_out2~DATAOUT15\ $ VCC
-- \u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[2]~1\ = CARRY(\u6|Mult0|auto_generated|mac_out2~DATAOUT15\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011001111001100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u6|Mult0|auto_generated|mac_out2~DATAOUT15\,
        datad => VCC,
        combout => \u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[2]~0_combout\,
        cout => \u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[2]~1\);

\u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[4]~4\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[4]~4_combout\ = \u6|Mult0|auto_generated|mac_out2~DATAOUT17\ & (GND # !\u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[3]~3\) # !\u6|Mult0|auto_generated|mac_out2~DATAOUT17\ & 
-- (\u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[3]~3\ $ GND)
-- \u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[4]~5\ = CARRY(\u6|Mult0|auto_generated|mac_out2~DATAOUT17\ # !\u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[3]~3\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0101101010101111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Mult0|auto_generated|mac_out2~DATAOUT17\,
        datad => VCC,
        cin => \u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[3]~3\,
        combout => \u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[4]~4_combout\,
        cout => \u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[4]~5\);

\u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[5]~6\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[5]~6_combout\ = \u6|Mult0|auto_generated|mac_out2~DATAOUT18\ & !\u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[4]~5\ # !\u6|Mult0|auto_generated|mac_out2~DATAOUT18\ & 
-- (\u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[4]~5\ # GND)
-- \u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[5]~7\ = CARRY(!\u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[4]~5\ # !\u6|Mult0|auto_generated|mac_out2~DATAOUT18\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110000111111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u6|Mult0|auto_generated|mac_out2~DATAOUT18\,
        datad => VCC,
        cin => \u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[4]~5\,
        combout => \u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[5]~6_combout\,
        cout => \u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[5]~7\);

\u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\ = !\u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[6]~9\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111100001111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        cin => \u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[6]~9\,
        combout => \u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[54]~209\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[54]~209_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\ & \u6|Mult0|auto_generated|mac_out2~DATAOUT19\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\,
        datad => \u6|Mult0|auto_generated|mac_out2~DATAOUT19\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[54]~209_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[53]~218\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[53]~218_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[5]~6_combout\ & !\u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[5]~6_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[53]~218_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[52]~219\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[52]~219_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[4]~4_combout\ & !\u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[4]~4_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[52]~219_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[51]~212\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[51]~212_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\ & \u6|Mult0|auto_generated|mac_out2~DATAOUT16\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\,
        datad => \u6|Mult0|auto_generated|mac_out2~DATAOUT16\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[51]~212_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[50]~221\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[50]~221_combout\ = !\u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\ & \u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[2]~0_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[2]~0_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[50]~221_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[49]~214\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[49]~214_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\ & \u6|Mult0|auto_generated|mac_out2~DATAOUT14\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\,
        datad => \u6|Mult0|auto_generated|mac_out2~DATAOUT14\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[49]~214_combout\);

\u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[2]~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[2]~0_combout\ = (\u6|Div0|auto_generated|divider|divider|StageOut[49]~222_combout\ # \u6|Div0|auto_generated|divider|divider|StageOut[49]~214_combout\)
-- \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[2]~1\ = CARRY(\u6|Div0|auto_generated|divider|divider|StageOut[49]~222_combout\ # \u6|Div0|auto_generated|divider|divider|StageOut[49]~214_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0001000111101110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[49]~222_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|StageOut[49]~214_combout\,
        datad => VCC,
        combout => \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[2]~0_combout\,
        cout => \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[2]~1\);

\u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[3]~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[3]~2_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[2]~1\ & (\u6|Div0|auto_generated|divider|divider|StageOut[50]~213_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|StageOut[50]~221_combout\) # !\u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[2]~1\ & !\u6|Div0|auto_generated|divider|divider|StageOut[50]~213_combout\ & 
-- !\u6|Div0|auto_generated|divider|divider|StageOut[50]~221_combout\
-- \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[3]~3\ = CARRY(!\u6|Div0|auto_generated|divider|divider|StageOut[50]~213_combout\ & !\u6|Div0|auto_generated|divider|divider|StageOut[50]~221_combout\ & 
-- !\u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[2]~1\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1110000100000001",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[50]~213_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|StageOut[50]~221_combout\,
        datad => VCC,
        cin => \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[2]~1\,
        combout => \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[3]~2_combout\,
        cout => \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[3]~3\);

\u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[4]~4\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[4]~4_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[3]~3\ & ((\u6|Div0|auto_generated|divider|divider|StageOut[51]~220_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|StageOut[51]~212_combout\)) # !\u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[3]~3\ & (\u6|Div0|auto_generated|divider|divider|StageOut[51]~220_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|StageOut[51]~212_combout\ # GND)
-- \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[4]~5\ = CARRY(\u6|Div0|auto_generated|divider|divider|StageOut[51]~220_combout\ # \u6|Div0|auto_generated|divider|divider|StageOut[51]~212_combout\ # 
-- !\u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[3]~3\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0001111011101111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[51]~220_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|StageOut[51]~212_combout\,
        datad => VCC,
        cin => \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[3]~3\,
        combout => \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[4]~4_combout\,
        cout => \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[4]~5\);

\u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[6]~8\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[6]~8_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[5]~7\ & (\u6|Div0|auto_generated|divider|divider|StageOut[53]~210_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|StageOut[53]~218_combout\) # !\u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[5]~7\ & ((\u6|Div0|auto_generated|divider|divider|StageOut[53]~210_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|StageOut[53]~218_combout\))
-- \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[6]~9\ = CARRY(!\u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[5]~7\ & (\u6|Div0|auto_generated|divider|divider|StageOut[53]~210_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|StageOut[53]~218_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1110000100001110",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[53]~210_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|StageOut[53]~218_combout\,
        datad => VCC,
        cin => \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[5]~7\,
        combout => \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[6]~8_combout\,
        cout => \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[6]~9\);

\u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[7]~11\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[7]~11_cout\ = CARRY(!\u6|Div0|auto_generated|divider|divider|StageOut[54]~217_combout\ & !\u6|Div0|auto_generated|divider|divider|StageOut[54]~209_combout\ & 
-- !\u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[6]~9\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000000000001",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[54]~217_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|StageOut[54]~209_combout\,
        datad => VCC,
        cin => \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[6]~9\,
        cout => \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[7]~11_cout\);

\u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[7]~11_cout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000011110000",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        cin => \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[7]~11_cout\,
        combout => \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[62]~201\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[62]~201_combout\ = !\u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\ & \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[6]~8_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[6]~8_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[62]~201_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[61]~578\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[61]~578_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\ & (\u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\ & 
-- (\u6|Mult0|auto_generated|mac_out2~DATAOUT17\) # !\u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\ & \u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[4]~4_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100101000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[4]~4_combout\,
        datab => \u6|Mult0|auto_generated|mac_out2~DATAOUT17\,
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[61]~578_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[60]~203\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[60]~203_combout\ = !\u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\ & \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[4]~4_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[4]~4_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[60]~203_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[59]~204\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[59]~204_combout\ = !\u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\ & \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[3]~2_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[3]~2_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[59]~204_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[58]~205\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[58]~205_combout\ = !\u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\ & \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[2]~0_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[2]~0_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[58]~205_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[48]~223\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[48]~223_combout\ = \u6|Mult0|auto_generated|mac_out2~DATAOUT13\ & !\u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Mult0|auto_generated|mac_out2~DATAOUT13\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[48]~223_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[48]~215\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[48]~215_combout\ = \u6|Mult0|auto_generated|mac_out2~DATAOUT13\ & \u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100000011000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u6|Mult0|auto_generated|mac_out2~DATAOUT13\,
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[48]~215_combout\);

\u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[1]~14\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[1]~14_combout\ = \u6|Div0|auto_generated|divider|divider|StageOut[48]~223_combout\ # \u6|Div0|auto_generated|divider|divider|StageOut[48]~215_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|StageOut[48]~223_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|StageOut[48]~215_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[1]~14_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[57]~206\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[57]~206_combout\ = !\u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\ & \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[1]~14_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[1]~14_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[57]~206_combout\);

\u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[2]~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[2]~0_combout\ = (\u6|Div0|auto_generated|divider|divider|StageOut[57]~198_combout\ # \u6|Div0|auto_generated|divider|divider|StageOut[57]~206_combout\)
-- \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[2]~1\ = CARRY(\u6|Div0|auto_generated|divider|divider|StageOut[57]~198_combout\ # \u6|Div0|auto_generated|divider|divider|StageOut[57]~206_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0001000111101110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[57]~198_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|StageOut[57]~206_combout\,
        datad => VCC,
        combout => \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[2]~0_combout\,
        cout => \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[2]~1\);

\u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[3]~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[3]~2_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[2]~1\ & (\u6|Div0|auto_generated|divider|divider|StageOut[58]~197_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|StageOut[58]~205_combout\) # !\u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[2]~1\ & !\u6|Div0|auto_generated|divider|divider|StageOut[58]~197_combout\ & 
-- !\u6|Div0|auto_generated|divider|divider|StageOut[58]~205_combout\
-- \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[3]~3\ = CARRY(!\u6|Div0|auto_generated|divider|divider|StageOut[58]~197_combout\ & !\u6|Div0|auto_generated|divider|divider|StageOut[58]~205_combout\ & 
-- !\u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[2]~1\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1110000100000001",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[58]~197_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|StageOut[58]~205_combout\,
        datad => VCC,
        cin => \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[2]~1\,
        combout => \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[3]~2_combout\,
        cout => \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[3]~3\);

\u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[4]~4\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[4]~4_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[3]~3\ & ((\u6|Div0|auto_generated|divider|divider|StageOut[59]~580_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|StageOut[59]~204_combout\)) # !\u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[3]~3\ & (\u6|Div0|auto_generated|divider|divider|StageOut[59]~580_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|StageOut[59]~204_combout\ # GND)
-- \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[4]~5\ = CARRY(\u6|Div0|auto_generated|divider|divider|StageOut[59]~580_combout\ # \u6|Div0|auto_generated|divider|divider|StageOut[59]~204_combout\ # 
-- !\u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[3]~3\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0001111011101111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[59]~580_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|StageOut[59]~204_combout\,
        datad => VCC,
        cin => \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[3]~3\,
        combout => \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[4]~4_combout\,
        cout => \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[4]~5\);

\u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[6]~8\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[6]~8_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[5]~7\ & (\u6|Div0|auto_generated|divider|divider|StageOut[61]~202_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|StageOut[61]~578_combout\) # !\u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[5]~7\ & ((\u6|Div0|auto_generated|divider|divider|StageOut[61]~202_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|StageOut[61]~578_combout\))
-- \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[6]~9\ = CARRY(!\u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[5]~7\ & (\u6|Div0|auto_generated|divider|divider|StageOut[61]~202_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|StageOut[61]~578_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1110000100001110",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[61]~202_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|StageOut[61]~578_combout\,
        datad => VCC,
        cin => \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[5]~7\,
        combout => \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[6]~8_combout\,
        cout => \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[6]~9\);

\u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[7]~11\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[7]~11_cout\ = CARRY(!\u6|Div0|auto_generated|divider|divider|StageOut[62]~577_combout\ & !\u6|Div0|auto_generated|divider|divider|StageOut[62]~201_combout\ & 
-- !\u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[6]~9\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000000000001",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[62]~577_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|StageOut[62]~201_combout\,
        datad => VCC,
        cin => \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[6]~9\,
        cout => \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[7]~11_cout\);

\u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[7]~11_cout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000011110000",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        cin => \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[7]~11_cout\,
        combout => \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[70]~185\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[70]~185_combout\ = !\u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\ & \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[6]~8_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[6]~8_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[70]~185_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[60]~579\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[60]~579_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\ & (\u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\ & 
-- (\u6|Mult0|auto_generated|mac_out2~DATAOUT16\) # !\u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\ & \u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[3]~2_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1110001000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[3]~2_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\,
        datac => \u6|Mult0|auto_generated|mac_out2~DATAOUT16\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[60]~579_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[69]~535\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[69]~535_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\ & (\u6|Div0|auto_generated|divider|divider|StageOut[60]~579_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[4]~4_combout\ & !\u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111001000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[4]~4_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\,
        datac => \u6|Div0|auto_generated|divider|divider|StageOut[60]~579_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[69]~535_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[68]~187\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[68]~187_combout\ = !\u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\ & \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[4]~4_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[4]~4_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[68]~187_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[67]~581\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[67]~581_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\ & (\u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\ & 
-- \u6|Mult0|auto_generated|mac_out2~DATAOUT14\ # !\u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\ & (\u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[2]~0_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010110000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Mult0|auto_generated|mac_out2~DATAOUT14\,
        datab => \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[2]~0_combout\,
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[67]~581_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[66]~189\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[66]~189_combout\ = !\u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\ & \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[2]~0_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[2]~0_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[66]~189_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[56]~199\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[56]~199_combout\ = \u6|Mult0|auto_generated|mac_out2~DATAOUT12\ & \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Mult0|auto_generated|mac_out2~DATAOUT12\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[56]~199_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[56]~207\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[56]~207_combout\ = \u6|Mult0|auto_generated|mac_out2~DATAOUT12\ & !\u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Mult0|auto_generated|mac_out2~DATAOUT12\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[56]~207_combout\);

\u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[1]~14\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[1]~14_combout\ = \u6|Div0|auto_generated|divider|divider|StageOut[56]~199_combout\ # \u6|Div0|auto_generated|divider|divider|StageOut[56]~207_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|StageOut[56]~199_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|StageOut[56]~207_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[1]~14_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[65]~190\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[65]~190_combout\ = !\u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\ & \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[1]~14_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[1]~14_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[65]~190_combout\);

\u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[2]~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[2]~0_combout\ = (\u6|Div0|auto_generated|divider|divider|StageOut[65]~182_combout\ # \u6|Div0|auto_generated|divider|divider|StageOut[65]~190_combout\)
-- \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[2]~1\ = CARRY(\u6|Div0|auto_generated|divider|divider|StageOut[65]~182_combout\ # \u6|Div0|auto_generated|divider|divider|StageOut[65]~190_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0001000111101110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[65]~182_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|StageOut[65]~190_combout\,
        datad => VCC,
        combout => \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[2]~0_combout\,
        cout => \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[2]~1\);

\u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[4]~4\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[4]~4_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[3]~3\ & ((\u6|Div0|auto_generated|divider|divider|StageOut[67]~188_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|StageOut[67]~581_combout\)) # !\u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[3]~3\ & (\u6|Div0|auto_generated|divider|divider|StageOut[67]~188_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|StageOut[67]~581_combout\ # GND)
-- \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[4]~5\ = CARRY(\u6|Div0|auto_generated|divider|divider|StageOut[67]~188_combout\ # \u6|Div0|auto_generated|divider|divider|StageOut[67]~581_combout\ # 
-- !\u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[3]~3\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0001111011101111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[67]~188_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|StageOut[67]~581_combout\,
        datad => VCC,
        cin => \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[3]~3\,
        combout => \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[4]~4_combout\,
        cout => \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[4]~5\);

\u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[5]~6\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[5]~6_combout\ = \u6|Div0|auto_generated|divider|divider|StageOut[68]~536_combout\ & (!\u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[4]~5\) # 
-- !\u6|Div0|auto_generated|divider|divider|StageOut[68]~536_combout\ & (\u6|Div0|auto_generated|divider|divider|StageOut[68]~187_combout\ & !\u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[4]~5\ # 
-- !\u6|Div0|auto_generated|divider|divider|StageOut[68]~187_combout\ & (\u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[4]~5\ # GND))
-- \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[5]~7\ = CARRY(!\u6|Div0|auto_generated|divider|divider|StageOut[68]~536_combout\ & !\u6|Div0|auto_generated|divider|divider|StageOut[68]~187_combout\ # 
-- !\u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[4]~5\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0001111000011111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[68]~536_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|StageOut[68]~187_combout\,
        datad => VCC,
        cin => \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[4]~5\,
        combout => \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[5]~6_combout\,
        cout => \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[5]~7\);

\u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[6]~8\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[6]~8_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[5]~7\ & (\u6|Div0|auto_generated|divider|divider|StageOut[69]~186_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|StageOut[69]~535_combout\) # !\u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[5]~7\ & ((\u6|Div0|auto_generated|divider|divider|StageOut[69]~186_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|StageOut[69]~535_combout\))
-- \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[6]~9\ = CARRY(!\u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[5]~7\ & (\u6|Div0|auto_generated|divider|divider|StageOut[69]~186_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|StageOut[69]~535_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1110000100001110",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[69]~186_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|StageOut[69]~535_combout\,
        datad => VCC,
        cin => \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[5]~7\,
        combout => \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[6]~8_combout\,
        cout => \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[6]~9\);

\u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[7]~11\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[7]~11_cout\ = CARRY(!\u6|Div0|auto_generated|divider|divider|StageOut[70]~534_combout\ & !\u6|Div0|auto_generated|divider|divider|StageOut[70]~185_combout\ & 
-- !\u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[6]~9\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000000000001",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[70]~534_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|StageOut[70]~185_combout\,
        datad => VCC,
        cin => \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[6]~9\,
        cout => \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[7]~11_cout\);

\u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[7]~11_cout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000011110000",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        cin => \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[7]~11_cout\,
        combout => \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[78]~169\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[78]~169_combout\ = !\u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\ & \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[6]~8_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[6]~8_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[78]~169_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[77]~170\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[77]~170_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[5]~6_combout\ & !\u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[5]~6_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[77]~170_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[76]~171\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[76]~171_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[4]~4_combout\ & !\u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[4]~4_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[76]~171_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[66]~582\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[66]~582_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\ & (\u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\ & 
-- \u6|Mult0|auto_generated|mac_out2~DATAOUT13\ # !\u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\ & (\u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[1]~14_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010110000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Mult0|auto_generated|mac_out2~DATAOUT13\,
        datab => \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[1]~14_combout\,
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[66]~582_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[75]~540\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[75]~540_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\ & (\u6|Div0|auto_generated|divider|divider|StageOut[66]~582_combout\ # 
-- !\u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\ & \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[2]~0_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111010000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[2]~0_combout\,
        datac => \u6|Div0|auto_generated|divider|divider|StageOut[66]~582_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[75]~540_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[74]~173\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[74]~173_combout\ = !\u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\ & \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[2]~0_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[2]~0_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[74]~173_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[64]~183\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[64]~183_combout\ = \u6|Mult0|auto_generated|mac_out2~DATAOUT11\ & \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010101000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Mult0|auto_generated|mac_out2~DATAOUT11\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[64]~183_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[64]~191\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[64]~191_combout\ = \u6|Mult0|auto_generated|mac_out2~DATAOUT11\ & !\u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000010101010",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Mult0|auto_generated|mac_out2~DATAOUT11\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[64]~191_combout\);

\u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[1]~14\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[1]~14_combout\ = \u6|Div0|auto_generated|divider|divider|StageOut[64]~183_combout\ # \u6|Div0|auto_generated|divider|divider|StageOut[64]~191_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|StageOut[64]~183_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|StageOut[64]~191_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[1]~14_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[73]~174\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[73]~174_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[1]~14_combout\ & !\u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[1]~14_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[73]~174_combout\);

\u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[2]~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[2]~0_combout\ = (\u6|Div0|auto_generated|divider|divider|StageOut[73]~166_combout\ # \u6|Div0|auto_generated|divider|divider|StageOut[73]~174_combout\)
-- \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[2]~1\ = CARRY(\u6|Div0|auto_generated|divider|divider|StageOut[73]~166_combout\ # \u6|Div0|auto_generated|divider|divider|StageOut[73]~174_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0001000111101110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[73]~166_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|StageOut[73]~174_combout\,
        datad => VCC,
        combout => \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[2]~0_combout\,
        cout => \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[2]~1\);

\u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[3]~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[3]~2_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[2]~1\ & (\u6|Div0|auto_generated|divider|divider|StageOut[74]~583_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|StageOut[74]~173_combout\) # !\u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[2]~1\ & !\u6|Div0|auto_generated|divider|divider|StageOut[74]~583_combout\ & 
-- !\u6|Div0|auto_generated|divider|divider|StageOut[74]~173_combout\
-- \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[3]~3\ = CARRY(!\u6|Div0|auto_generated|divider|divider|StageOut[74]~583_combout\ & !\u6|Div0|auto_generated|divider|divider|StageOut[74]~173_combout\ & 
-- !\u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[2]~1\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1110000100000001",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[74]~583_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|StageOut[74]~173_combout\,
        datad => VCC,
        cin => \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[2]~1\,
        combout => \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[3]~2_combout\,
        cout => \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[3]~3\);

\u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[4]~4\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[4]~4_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[3]~3\ & ((\u6|Div0|auto_generated|divider|divider|StageOut[75]~172_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|StageOut[75]~540_combout\)) # !\u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[3]~3\ & (\u6|Div0|auto_generated|divider|divider|StageOut[75]~172_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|StageOut[75]~540_combout\ # GND)
-- \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[4]~5\ = CARRY(\u6|Div0|auto_generated|divider|divider|StageOut[75]~172_combout\ # \u6|Div0|auto_generated|divider|divider|StageOut[75]~540_combout\ # 
-- !\u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[3]~3\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0001111011101111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[75]~172_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|StageOut[75]~540_combout\,
        datad => VCC,
        cin => \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[3]~3\,
        combout => \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[4]~4_combout\,
        cout => \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[4]~5\);

\u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[5]~6\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[5]~6_combout\ = \u6|Div0|auto_generated|divider|divider|StageOut[76]~539_combout\ & (!\u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[4]~5\) # 
-- !\u6|Div0|auto_generated|divider|divider|StageOut[76]~539_combout\ & (\u6|Div0|auto_generated|divider|divider|StageOut[76]~171_combout\ & !\u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[4]~5\ # 
-- !\u6|Div0|auto_generated|divider|divider|StageOut[76]~171_combout\ & (\u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[4]~5\ # GND))
-- \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[5]~7\ = CARRY(!\u6|Div0|auto_generated|divider|divider|StageOut[76]~539_combout\ & !\u6|Div0|auto_generated|divider|divider|StageOut[76]~171_combout\ # 
-- !\u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[4]~5\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0001111000011111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[76]~539_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|StageOut[76]~171_combout\,
        datad => VCC,
        cin => \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[4]~5\,
        combout => \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[5]~6_combout\,
        cout => \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[5]~7\);

\u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[6]~8\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[6]~8_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[5]~7\ & (\u6|Div0|auto_generated|divider|divider|StageOut[77]~538_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|StageOut[77]~170_combout\) # !\u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[5]~7\ & ((\u6|Div0|auto_generated|divider|divider|StageOut[77]~538_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|StageOut[77]~170_combout\))
-- \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[6]~9\ = CARRY(!\u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[5]~7\ & (\u6|Div0|auto_generated|divider|divider|StageOut[77]~538_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|StageOut[77]~170_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1110000100001110",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[77]~538_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|StageOut[77]~170_combout\,
        datad => VCC,
        cin => \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[5]~7\,
        combout => \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[6]~8_combout\,
        cout => \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[6]~9\);

\u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[7]~11\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[7]~11_cout\ = CARRY(!\u6|Div0|auto_generated|divider|divider|StageOut[78]~537_combout\ & !\u6|Div0|auto_generated|divider|divider|StageOut[78]~169_combout\ & 
-- !\u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[6]~9\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000000000001",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[78]~537_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|StageOut[78]~169_combout\,
        datad => VCC,
        cin => \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[6]~9\,
        cout => \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[7]~11_cout\);

\u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[7]~11_cout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000011110000",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        cin => \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[7]~11_cout\,
        combout => \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[86]~153\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[86]~153_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[6]~8_combout\ & !\u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[6]~8_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[86]~153_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[85]~154\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[85]~154_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[5]~6_combout\ & !\u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011001100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[5]~6_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[85]~154_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[84]~155\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[84]~155_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[4]~4_combout\ & !\u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[4]~4_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[84]~155_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[74]~583\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[74]~583_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\ & (\u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\ & 
-- \u6|Mult0|auto_generated|mac_out2~DATAOUT12\ # !\u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\ & (\u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[1]~14_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1011000010000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Mult0|auto_generated|mac_out2~DATAOUT12\,
        datab => \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\,
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[1]~14_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[74]~583_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[83]~544\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[83]~544_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\ & (\u6|Div0|auto_generated|divider|divider|StageOut[74]~583_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[2]~0_combout\ & !\u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111001000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[2]~0_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\,
        datac => \u6|Div0|auto_generated|divider|divider|StageOut[74]~583_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[83]~544_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[82]~157\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[82]~157_combout\ = !\u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\ & \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[2]~0_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[2]~0_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[82]~157_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[72]~175\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[72]~175_combout\ = \u6|Mult0|auto_generated|mac_out2~DATAOUT10\ & !\u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Mult0|auto_generated|mac_out2~DATAOUT10\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[72]~175_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[72]~167\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[72]~167_combout\ = \u6|Mult0|auto_generated|mac_out2~DATAOUT10\ & \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Mult0|auto_generated|mac_out2~DATAOUT10\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[72]~167_combout\);

\u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[1]~14\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[1]~14_combout\ = \u6|Div0|auto_generated|divider|divider|StageOut[72]~175_combout\ # \u6|Div0|auto_generated|divider|divider|StageOut[72]~167_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|StageOut[72]~175_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|StageOut[72]~167_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[1]~14_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[81]~158\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[81]~158_combout\ = !\u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\ & \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[1]~14_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[1]~14_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[81]~158_combout\);

\u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[4]~4\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[4]~4_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[3]~3\ & ((\u6|Div0|auto_generated|divider|divider|StageOut[83]~156_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|StageOut[83]~544_combout\)) # !\u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[3]~3\ & (\u6|Div0|auto_generated|divider|divider|StageOut[83]~156_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|StageOut[83]~544_combout\ # GND)
-- \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[4]~5\ = CARRY(\u6|Div0|auto_generated|divider|divider|StageOut[83]~156_combout\ # \u6|Div0|auto_generated|divider|divider|StageOut[83]~544_combout\ # 
-- !\u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[3]~3\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0001111011101111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[83]~156_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|StageOut[83]~544_combout\,
        datad => VCC,
        cin => \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[3]~3\,
        combout => \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[4]~4_combout\,
        cout => \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[4]~5\);

\u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[7]~11\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[7]~11_cout\ = CARRY(!\u6|Div0|auto_generated|divider|divider|StageOut[86]~541_combout\ & !\u6|Div0|auto_generated|divider|divider|StageOut[86]~153_combout\ & 
-- !\u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[6]~9\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000000000001",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[86]~541_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|StageOut[86]~153_combout\,
        datad => VCC,
        cin => \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[6]~9\,
        cout => \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[7]~11_cout\);

\u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[7]~11_cout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000011110000",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        cin => \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[7]~11_cout\,
        combout => \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[93]~546\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[93]~546_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\ & (\u6|Div0|auto_generated|divider|divider|StageOut[84]~543_combout\ # 
-- !\u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\ & \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[4]~4_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1011101000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[84]~543_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\,
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[4]~4_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[93]~546_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[92]~139\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[92]~139_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[4]~4_combout\ & !\u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011001100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[4]~4_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[92]~139_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[82]~584\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[82]~584_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\ & (\u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\ & 
-- (\u6|Mult0|auto_generated|mac_out2~DATAOUT11\) # !\u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\ & \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[1]~14_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100000010100000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[1]~14_combout\,
        datab => \u6|Mult0|auto_generated|mac_out2~DATAOUT11\,
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[82]~584_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[91]~548\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[91]~548_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\ & (\u6|Div0|auto_generated|divider|divider|StageOut[82]~584_combout\ # 
-- !\u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\ & \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[2]~0_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111010000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[2]~0_combout\,
        datac => \u6|Div0|auto_generated|divider|divider|StageOut[82]~584_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[91]~548_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[90]~585\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[90]~585_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\ & (\u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\ & 
-- (\u6|Mult0|auto_generated|mac_out2~DATAOUT10\) # !\u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\ & \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[1]~14_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1110001000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[1]~14_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\,
        datac => \u6|Mult0|auto_generated|mac_out2~DATAOUT10\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[90]~585_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[89]~134\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[89]~134_combout\ = \u6|Mult0|auto_generated|mac_out2~DATAOUT9\ & \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Mult0|auto_generated|mac_out2~DATAOUT9\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[89]~134_combout\);

\u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[2]~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[2]~0_combout\ = (\u6|Div0|auto_generated|divider|divider|StageOut[89]~142_combout\ # \u6|Div0|auto_generated|divider|divider|StageOut[89]~134_combout\)
-- \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[2]~1\ = CARRY(\u6|Div0|auto_generated|divider|divider|StageOut[89]~142_combout\ # \u6|Div0|auto_generated|divider|divider|StageOut[89]~134_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0001000111101110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[89]~142_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|StageOut[89]~134_combout\,
        datad => VCC,
        combout => \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[2]~0_combout\,
        cout => \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[2]~1\);

\u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[3]~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[3]~2_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[2]~1\ & (\u6|Div0|auto_generated|divider|divider|StageOut[90]~141_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|StageOut[90]~585_combout\) # !\u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[2]~1\ & !\u6|Div0|auto_generated|divider|divider|StageOut[90]~141_combout\ & 
-- !\u6|Div0|auto_generated|divider|divider|StageOut[90]~585_combout\
-- \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[3]~3\ = CARRY(!\u6|Div0|auto_generated|divider|divider|StageOut[90]~141_combout\ & !\u6|Div0|auto_generated|divider|divider|StageOut[90]~585_combout\ & 
-- !\u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[2]~1\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1110000100000001",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[90]~141_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|StageOut[90]~585_combout\,
        datad => VCC,
        cin => \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[2]~1\,
        combout => \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[3]~2_combout\,
        cout => \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[3]~3\);

\u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[4]~4\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[4]~4_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[3]~3\ & ((\u6|Div0|auto_generated|divider|divider|StageOut[91]~140_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|StageOut[91]~548_combout\)) # !\u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[3]~3\ & (\u6|Div0|auto_generated|divider|divider|StageOut[91]~140_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|StageOut[91]~548_combout\ # GND)
-- \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[4]~5\ = CARRY(\u6|Div0|auto_generated|divider|divider|StageOut[91]~140_combout\ # \u6|Div0|auto_generated|divider|divider|StageOut[91]~548_combout\ # 
-- !\u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[3]~3\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0001111011101111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[91]~140_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|StageOut[91]~548_combout\,
        datad => VCC,
        cin => \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[3]~3\,
        combout => \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[4]~4_combout\,
        cout => \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[4]~5\);

\u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[5]~6\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[5]~6_combout\ = \u6|Div0|auto_generated|divider|divider|StageOut[92]~547_combout\ & (!\u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[4]~5\) # 
-- !\u6|Div0|auto_generated|divider|divider|StageOut[92]~547_combout\ & (\u6|Div0|auto_generated|divider|divider|StageOut[92]~139_combout\ & !\u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[4]~5\ # 
-- !\u6|Div0|auto_generated|divider|divider|StageOut[92]~139_combout\ & (\u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[4]~5\ # GND))
-- \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[5]~7\ = CARRY(!\u6|Div0|auto_generated|divider|divider|StageOut[92]~547_combout\ & !\u6|Div0|auto_generated|divider|divider|StageOut[92]~139_combout\ # 
-- !\u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[4]~5\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0001111000011111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[92]~547_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|StageOut[92]~139_combout\,
        datad => VCC,
        cin => \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[4]~5\,
        combout => \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[5]~6_combout\,
        cout => \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[5]~7\);

\u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[6]~8\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[6]~8_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[5]~7\ & (\u6|Div0|auto_generated|divider|divider|StageOut[93]~138_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|StageOut[93]~546_combout\) # !\u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[5]~7\ & ((\u6|Div0|auto_generated|divider|divider|StageOut[93]~138_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|StageOut[93]~546_combout\))
-- \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[6]~9\ = CARRY(!\u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[5]~7\ & (\u6|Div0|auto_generated|divider|divider|StageOut[93]~138_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|StageOut[93]~546_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1110000100001110",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[93]~138_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|StageOut[93]~546_combout\,
        datad => VCC,
        cin => \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[5]~7\,
        combout => \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[6]~8_combout\,
        cout => \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[6]~9\);

\u6|Div0|auto_generated|divider|divider|StageOut[76]~539\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[76]~539_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\ & (\u6|Div0|auto_generated|divider|divider|StageOut[67]~581_combout\ # 
-- !\u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\ & \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[3]~2_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111010000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|add_sub_8_result_int[3]~2_combout\,
        datac => \u6|Div0|auto_generated|divider|divider|StageOut[67]~581_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[76]~539_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[85]~542\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[85]~542_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\ & (\u6|Div0|auto_generated|divider|divider|StageOut[76]~539_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[4]~4_combout\ & !\u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111001000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[4]~4_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\,
        datac => \u6|Div0|auto_generated|divider|divider|StageOut[76]~539_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[85]~542_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[94]~545\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[94]~545_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\ & (\u6|Div0|auto_generated|divider|divider|StageOut[85]~542_combout\ # 
-- !\u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\ & \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[5]~6_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111010000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[5]~6_combout\,
        datac => \u6|Div0|auto_generated|divider|divider|StageOut[85]~542_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[94]~545_combout\);

\u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[7]~11\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[7]~11_cout\ = CARRY(!\u6|Div0|auto_generated|divider|divider|StageOut[94]~137_combout\ & !\u6|Div0|auto_generated|divider|divider|StageOut[94]~545_combout\ & 
-- !\u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[6]~9\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000000000001",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[94]~137_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|StageOut[94]~545_combout\,
        datad => VCC,
        cin => \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[6]~9\,
        cout => \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[7]~11_cout\);

\u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[7]~11_cout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000011110000",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        cin => \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[7]~11_cout\,
        combout => \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[102]~121\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[102]~121_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[6]~8_combout\ & !\u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011001100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[6]~8_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[102]~121_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[92]~547\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[92]~547_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\ & (\u6|Div0|auto_generated|divider|divider|StageOut[83]~544_combout\ # 
-- !\u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\ & \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[3]~2_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1011101000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[83]~544_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\,
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_10_result_int[3]~2_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[92]~547_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[101]~550\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[101]~550_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\ & (\u6|Div0|auto_generated|divider|divider|StageOut[92]~547_combout\ # 
-- !\u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\ & \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[4]~4_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111010000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[4]~4_combout\,
        datac => \u6|Div0|auto_generated|divider|divider|StageOut[92]~547_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[101]~550_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[100]~123\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[100]~123_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[4]~4_combout\ & !\u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[4]~4_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[100]~123_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[99]~124\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[99]~124_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[3]~2_combout\ & !\u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[3]~2_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[99]~124_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[98]~125\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[98]~125_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[2]~0_combout\ & !\u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011001100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[2]~0_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[98]~125_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[88]~143\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[88]~143_combout\ = !\u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\ & \u6|Mult0|auto_generated|mac_out2~DATAOUT8\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\,
        datad => \u6|Mult0|auto_generated|mac_out2~DATAOUT8\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[88]~143_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[88]~135\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[88]~135_combout\ = \u6|Mult0|auto_generated|mac_out2~DATAOUT8\ & \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100110000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u6|Mult0|auto_generated|mac_out2~DATAOUT8\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[88]~135_combout\);

\u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[1]~14\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[1]~14_combout\ = \u6|Div0|auto_generated|divider|divider|StageOut[88]~143_combout\ # \u6|Div0|auto_generated|divider|divider|StageOut[88]~135_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|StageOut[88]~143_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|StageOut[88]~135_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[1]~14_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[97]~126\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[97]~126_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[1]~14_combout\ & !\u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[1]~14_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[97]~126_combout\);

\u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[2]~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[2]~0_combout\ = (\u6|Div0|auto_generated|divider|divider|StageOut[97]~118_combout\ # \u6|Div0|auto_generated|divider|divider|StageOut[97]~126_combout\)
-- \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[2]~1\ = CARRY(\u6|Div0|auto_generated|divider|divider|StageOut[97]~118_combout\ # \u6|Div0|auto_generated|divider|divider|StageOut[97]~126_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0001000111101110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[97]~118_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|StageOut[97]~126_combout\,
        datad => VCC,
        combout => \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[2]~0_combout\,
        cout => \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[2]~1\);

\u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[3]~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[3]~2_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[2]~1\ & (\u6|Div0|auto_generated|divider|divider|StageOut[98]~586_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|StageOut[98]~125_combout\) # !\u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[2]~1\ & !\u6|Div0|auto_generated|divider|divider|StageOut[98]~586_combout\ & 
-- !\u6|Div0|auto_generated|divider|divider|StageOut[98]~125_combout\
-- \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[3]~3\ = CARRY(!\u6|Div0|auto_generated|divider|divider|StageOut[98]~586_combout\ & !\u6|Div0|auto_generated|divider|divider|StageOut[98]~125_combout\ & 
-- !\u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[2]~1\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1110000100000001",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[98]~586_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|StageOut[98]~125_combout\,
        datad => VCC,
        cin => \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[2]~1\,
        combout => \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[3]~2_combout\,
        cout => \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[3]~3\);

\u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[4]~4\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[4]~4_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[3]~3\ & ((\u6|Div0|auto_generated|divider|divider|StageOut[99]~552_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|StageOut[99]~124_combout\)) # !\u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[3]~3\ & (\u6|Div0|auto_generated|divider|divider|StageOut[99]~552_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|StageOut[99]~124_combout\ # GND)
-- \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[4]~5\ = CARRY(\u6|Div0|auto_generated|divider|divider|StageOut[99]~552_combout\ # \u6|Div0|auto_generated|divider|divider|StageOut[99]~124_combout\ # 
-- !\u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[3]~3\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0001111011101111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[99]~552_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|StageOut[99]~124_combout\,
        datad => VCC,
        cin => \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[3]~3\,
        combout => \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[4]~4_combout\,
        cout => \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[4]~5\);

\u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[7]~11\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[7]~11_cout\ = CARRY(!\u6|Div0|auto_generated|divider|divider|StageOut[102]~549_combout\ & !\u6|Div0|auto_generated|divider|divider|StageOut[102]~121_combout\ & 
-- !\u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[6]~9\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000000000001",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[102]~549_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|StageOut[102]~121_combout\,
        datad => VCC,
        cin => \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[6]~9\,
        cout => \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[7]~11_cout\);

\u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[7]~11_cout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000011110000",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        cin => \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[7]~11_cout\,
        combout => \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[107]~108\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[107]~108_combout\ = !\u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\ & \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[3]~2_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011000000110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\,
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[3]~2_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[107]~108_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[106]~587\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[106]~587_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\ & (\u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\ & 
-- \u6|Mult0|auto_generated|mac_out2~DATAOUT8\ # !\u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\ & (\u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[1]~14_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010000011000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Mult0|auto_generated|mac_out2~DATAOUT8\,
        datab => \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[1]~14_combout\,
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[106]~587_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[96]~127\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[96]~127_combout\ = !\u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\ & \u6|Mult0|auto_generated|mac_out2~DATAOUT7\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\,
        datad => \u6|Mult0|auto_generated|mac_out2~DATAOUT7\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[96]~127_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[96]~119\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[96]~119_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\ & \u6|Mult0|auto_generated|mac_out2~DATAOUT7\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\,
        datad => \u6|Mult0|auto_generated|mac_out2~DATAOUT7\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[96]~119_combout\);

\u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[1]~14\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[1]~14_combout\ = \u6|Div0|auto_generated|divider|divider|StageOut[96]~127_combout\ # \u6|Div0|auto_generated|divider|divider|StageOut[96]~119_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|StageOut[96]~127_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|StageOut[96]~119_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[1]~14_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[105]~110\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[105]~110_combout\ = !\u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\ & \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[1]~14_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[1]~14_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[105]~110_combout\);

\u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[2]~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[2]~0_combout\ = (\u6|Div0|auto_generated|divider|divider|StageOut[105]~102_combout\ # \u6|Div0|auto_generated|divider|divider|StageOut[105]~110_combout\)
-- \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[2]~1\ = CARRY(\u6|Div0|auto_generated|divider|divider|StageOut[105]~102_combout\ # \u6|Div0|auto_generated|divider|divider|StageOut[105]~110_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0001000111101110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[105]~102_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|StageOut[105]~110_combout\,
        datad => VCC,
        combout => \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[2]~0_combout\,
        cout => \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[2]~1\);

\u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[3]~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[3]~2_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[2]~1\ & (\u6|Div0|auto_generated|divider|divider|StageOut[106]~109_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|StageOut[106]~587_combout\) # !\u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[2]~1\ & !\u6|Div0|auto_generated|divider|divider|StageOut[106]~109_combout\ & 
-- !\u6|Div0|auto_generated|divider|divider|StageOut[106]~587_combout\
-- \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[3]~3\ = CARRY(!\u6|Div0|auto_generated|divider|divider|StageOut[106]~109_combout\ & !\u6|Div0|auto_generated|divider|divider|StageOut[106]~587_combout\ & 
-- !\u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[2]~1\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1110000100000001",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[106]~109_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|StageOut[106]~587_combout\,
        datad => VCC,
        cin => \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[2]~1\,
        combout => \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[3]~2_combout\,
        cout => \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[3]~3\);

\u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[4]~4\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[4]~4_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[3]~3\ & ((\u6|Div0|auto_generated|divider|divider|StageOut[107]~556_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|StageOut[107]~108_combout\)) # !\u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[3]~3\ & (\u6|Div0|auto_generated|divider|divider|StageOut[107]~556_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|StageOut[107]~108_combout\ # GND)
-- \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[4]~5\ = CARRY(\u6|Div0|auto_generated|divider|divider|StageOut[107]~556_combout\ # \u6|Div0|auto_generated|divider|divider|StageOut[107]~108_combout\ # 
-- !\u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[3]~3\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0001111011101111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[107]~556_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|StageOut[107]~108_combout\,
        datad => VCC,
        cin => \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[3]~3\,
        combout => \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[4]~4_combout\,
        cout => \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[4]~5\);

\u6|Div0|auto_generated|divider|divider|StageOut[110]~553\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[110]~553_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\ & (\u6|Div0|auto_generated|divider|divider|StageOut[101]~550_combout\ # 
-- !\u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\ & \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[5]~6_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111010000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|add_sub_12_result_int[5]~6_combout\,
        datac => \u6|Div0|auto_generated|divider|divider|StageOut[101]~550_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[110]~553_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[109]~106\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[109]~106_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[5]~6_combout\ & !\u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000010101010",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[5]~6_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[109]~106_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[108]~107\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[108]~107_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[4]~4_combout\ & !\u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[4]~4_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[108]~107_combout\);

\u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[5]~6\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[5]~6_combout\ = \u6|Div0|auto_generated|divider|divider|StageOut[108]~555_combout\ & (!\u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[4]~5\) # 
-- !\u6|Div0|auto_generated|divider|divider|StageOut[108]~555_combout\ & (\u6|Div0|auto_generated|divider|divider|StageOut[108]~107_combout\ & !\u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[4]~5\ # 
-- !\u6|Div0|auto_generated|divider|divider|StageOut[108]~107_combout\ & (\u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[4]~5\ # GND))
-- \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[5]~7\ = CARRY(!\u6|Div0|auto_generated|divider|divider|StageOut[108]~555_combout\ & !\u6|Div0|auto_generated|divider|divider|StageOut[108]~107_combout\ # 
-- !\u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[4]~5\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0001111000011111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[108]~555_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|StageOut[108]~107_combout\,
        datad => VCC,
        cin => \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[4]~5\,
        combout => \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[5]~6_combout\,
        cout => \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[5]~7\);

\u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[6]~8\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[6]~8_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[5]~7\ & (\u6|Div0|auto_generated|divider|divider|StageOut[109]~554_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|StageOut[109]~106_combout\) # !\u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[5]~7\ & ((\u6|Div0|auto_generated|divider|divider|StageOut[109]~554_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|StageOut[109]~106_combout\))
-- \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[6]~9\ = CARRY(!\u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[5]~7\ & (\u6|Div0|auto_generated|divider|divider|StageOut[109]~554_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|StageOut[109]~106_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1110000100001110",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[109]~554_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|StageOut[109]~106_combout\,
        datad => VCC,
        cin => \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[5]~7\,
        combout => \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[6]~8_combout\,
        cout => \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[6]~9\);

\u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[7]~11\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[7]~11_cout\ = CARRY(!\u6|Div0|auto_generated|divider|divider|StageOut[110]~105_combout\ & !\u6|Div0|auto_generated|divider|divider|StageOut[110]~553_combout\ & 
-- !\u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[6]~9\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000000000001",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[110]~105_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|StageOut[110]~553_combout\,
        datad => VCC,
        cin => \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[6]~9\,
        cout => \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[7]~11_cout\);

\u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[7]~11_cout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000011110000",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        cin => \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[7]~11_cout\,
        combout => \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[116]~91\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[116]~91_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[4]~4_combout\ & !\u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[4]~4_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[116]~91_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[115]~560\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[115]~560_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\ & (\u6|Div0|auto_generated|divider|divider|StageOut[106]~587_combout\ # 
-- !\u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\ & \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[2]~0_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1101110000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|StageOut[106]~587_combout\,
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[2]~0_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[115]~560_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[114]~93\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[114]~93_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[2]~0_combout\ & !\u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[2]~0_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[114]~93_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[104]~111\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[104]~111_combout\ = \u6|Mult0|auto_generated|mac_out2~DATAOUT6\ & !\u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Mult0|auto_generated|mac_out2~DATAOUT6\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[104]~111_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[104]~103\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[104]~103_combout\ = \u6|Mult0|auto_generated|mac_out2~DATAOUT6\ & \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Mult0|auto_generated|mac_out2~DATAOUT6\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[104]~103_combout\);

\u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[1]~14\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[1]~14_combout\ = \u6|Div0|auto_generated|divider|divider|StageOut[104]~111_combout\ # \u6|Div0|auto_generated|divider|divider|StageOut[104]~103_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|StageOut[104]~111_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|StageOut[104]~103_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[1]~14_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[113]~94\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[113]~94_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[1]~14_combout\ & !\u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[1]~14_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[113]~94_combout\);

\u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[2]~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[2]~0_combout\ = (\u6|Div0|auto_generated|divider|divider|StageOut[113]~86_combout\ # \u6|Div0|auto_generated|divider|divider|StageOut[113]~94_combout\)
-- \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[2]~1\ = CARRY(\u6|Div0|auto_generated|divider|divider|StageOut[113]~86_combout\ # \u6|Div0|auto_generated|divider|divider|StageOut[113]~94_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0001000111101110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[113]~86_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|StageOut[113]~94_combout\,
        datad => VCC,
        combout => \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[2]~0_combout\,
        cout => \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[2]~1\);

\u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[3]~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[3]~2_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[2]~1\ & (\u6|Div0|auto_generated|divider|divider|StageOut[114]~588_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|StageOut[114]~93_combout\) # !\u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[2]~1\ & !\u6|Div0|auto_generated|divider|divider|StageOut[114]~588_combout\ & 
-- !\u6|Div0|auto_generated|divider|divider|StageOut[114]~93_combout\
-- \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[3]~3\ = CARRY(!\u6|Div0|auto_generated|divider|divider|StageOut[114]~588_combout\ & !\u6|Div0|auto_generated|divider|divider|StageOut[114]~93_combout\ & 
-- !\u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[2]~1\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1110000100000001",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[114]~588_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|StageOut[114]~93_combout\,
        datad => VCC,
        cin => \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[2]~1\,
        combout => \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[3]~2_combout\,
        cout => \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[3]~3\);

\u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[5]~6\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[5]~6_combout\ = \u6|Div0|auto_generated|divider|divider|StageOut[116]~559_combout\ & (!\u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[4]~5\) # 
-- !\u6|Div0|auto_generated|divider|divider|StageOut[116]~559_combout\ & (\u6|Div0|auto_generated|divider|divider|StageOut[116]~91_combout\ & !\u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[4]~5\ # 
-- !\u6|Div0|auto_generated|divider|divider|StageOut[116]~91_combout\ & (\u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[4]~5\ # GND))
-- \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[5]~7\ = CARRY(!\u6|Div0|auto_generated|divider|divider|StageOut[116]~559_combout\ & !\u6|Div0|auto_generated|divider|divider|StageOut[116]~91_combout\ # 
-- !\u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[4]~5\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0001111000011111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[116]~559_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|StageOut[116]~91_combout\,
        datad => VCC,
        cin => \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[4]~5\,
        combout => \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[5]~6_combout\,
        cout => \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[5]~7\);

\u6|Div0|auto_generated|divider|divider|StageOut[118]~89\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[118]~89_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[6]~8_combout\ & !\u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[6]~8_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[118]~89_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[117]~90\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[117]~90_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[5]~6_combout\ & !\u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[5]~6_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[117]~90_combout\);

\u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[6]~8\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[6]~8_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[5]~7\ & (\u6|Div0|auto_generated|divider|divider|StageOut[117]~558_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|StageOut[117]~90_combout\) # !\u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[5]~7\ & ((\u6|Div0|auto_generated|divider|divider|StageOut[117]~558_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|StageOut[117]~90_combout\))
-- \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[6]~9\ = CARRY(!\u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[5]~7\ & (\u6|Div0|auto_generated|divider|divider|StageOut[117]~558_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|StageOut[117]~90_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1110000100001110",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[117]~558_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|StageOut[117]~90_combout\,
        datad => VCC,
        cin => \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[5]~7\,
        combout => \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[6]~8_combout\,
        cout => \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[6]~9\);

\u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[7]~11\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[7]~11_cout\ = CARRY(!\u6|Div0|auto_generated|divider|divider|StageOut[118]~557_combout\ & !\u6|Div0|auto_generated|divider|divider|StageOut[118]~89_combout\ & 
-- !\u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[6]~9\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000000000001",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[118]~557_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|StageOut[118]~89_combout\,
        datad => VCC,
        cin => \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[6]~9\,
        cout => \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[7]~11_cout\);

\u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[7]~11_cout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000011110000",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        cin => \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[7]~11_cout\,
        combout => \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[125]~74\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[125]~74_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[5]~6_combout\ & !\u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011001100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[5]~6_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[125]~74_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[124]~563\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[124]~563_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\ & (\u6|Div0|auto_generated|divider|divider|StageOut[115]~560_combout\ # 
-- !\u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\ & \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[3]~2_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111010000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[3]~2_combout\,
        datac => \u6|Div0|auto_generated|divider|divider|StageOut[115]~560_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[124]~563_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[123]~76\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[123]~76_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[3]~2_combout\ & !\u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011001100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[3]~2_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[123]~76_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[122]~77\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[122]~77_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[2]~0_combout\ & !\u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[2]~0_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[122]~77_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[112]~95\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[112]~95_combout\ = \u6|Mult0|auto_generated|mac_out2~DATAOUT5\ & !\u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Mult0|auto_generated|mac_out2~DATAOUT5\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[112]~95_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[112]~87\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[112]~87_combout\ = \u6|Mult0|auto_generated|mac_out2~DATAOUT5\ & \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Mult0|auto_generated|mac_out2~DATAOUT5\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[112]~87_combout\);

\u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[1]~14\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[1]~14_combout\ = \u6|Div0|auto_generated|divider|divider|StageOut[112]~95_combout\ # \u6|Div0|auto_generated|divider|divider|StageOut[112]~87_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|StageOut[112]~95_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|StageOut[112]~87_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[1]~14_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[121]~78\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[121]~78_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[1]~14_combout\ & !\u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[1]~14_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[121]~78_combout\);

\u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[2]~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[2]~0_combout\ = (\u6|Div0|auto_generated|divider|divider|StageOut[121]~70_combout\ # \u6|Div0|auto_generated|divider|divider|StageOut[121]~78_combout\)
-- \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[2]~1\ = CARRY(\u6|Div0|auto_generated|divider|divider|StageOut[121]~70_combout\ # \u6|Div0|auto_generated|divider|divider|StageOut[121]~78_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0001000111101110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[121]~70_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|StageOut[121]~78_combout\,
        datad => VCC,
        combout => \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[2]~0_combout\,
        cout => \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[2]~1\);

\u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[3]~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[3]~2_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[2]~1\ & (\u6|Div0|auto_generated|divider|divider|StageOut[122]~589_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|StageOut[122]~77_combout\) # !\u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[2]~1\ & !\u6|Div0|auto_generated|divider|divider|StageOut[122]~589_combout\ & 
-- !\u6|Div0|auto_generated|divider|divider|StageOut[122]~77_combout\
-- \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[3]~3\ = CARRY(!\u6|Div0|auto_generated|divider|divider|StageOut[122]~589_combout\ & !\u6|Div0|auto_generated|divider|divider|StageOut[122]~77_combout\ & 
-- !\u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[2]~1\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1110000100000001",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[122]~589_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|StageOut[122]~77_combout\,
        datad => VCC,
        cin => \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[2]~1\,
        combout => \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[3]~2_combout\,
        cout => \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[3]~3\);

\u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[4]~4\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[4]~4_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[3]~3\ & ((\u6|Div0|auto_generated|divider|divider|StageOut[123]~564_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|StageOut[123]~76_combout\)) # !\u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[3]~3\ & (\u6|Div0|auto_generated|divider|divider|StageOut[123]~564_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|StageOut[123]~76_combout\ # GND)
-- \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[4]~5\ = CARRY(\u6|Div0|auto_generated|divider|divider|StageOut[123]~564_combout\ # \u6|Div0|auto_generated|divider|divider|StageOut[123]~76_combout\ # 
-- !\u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[3]~3\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0001111011101111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[123]~564_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|StageOut[123]~76_combout\,
        datad => VCC,
        cin => \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[3]~3\,
        combout => \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[4]~4_combout\,
        cout => \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[4]~5\);

\u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[5]~6\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[5]~6_combout\ = \u6|Div0|auto_generated|divider|divider|StageOut[124]~75_combout\ & (!\u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[4]~5\) # 
-- !\u6|Div0|auto_generated|divider|divider|StageOut[124]~75_combout\ & (\u6|Div0|auto_generated|divider|divider|StageOut[124]~563_combout\ & !\u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[4]~5\ # 
-- !\u6|Div0|auto_generated|divider|divider|StageOut[124]~563_combout\ & (\u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[4]~5\ # GND))
-- \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[5]~7\ = CARRY(!\u6|Div0|auto_generated|divider|divider|StageOut[124]~75_combout\ & !\u6|Div0|auto_generated|divider|divider|StageOut[124]~563_combout\ # 
-- !\u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[4]~5\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0001111000011111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[124]~75_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|StageOut[124]~563_combout\,
        datad => VCC,
        cin => \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[4]~5\,
        combout => \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[5]~6_combout\,
        cout => \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[5]~7\);

\u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[6]~8\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[6]~8_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[5]~7\ & (\u6|Div0|auto_generated|divider|divider|StageOut[125]~562_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|StageOut[125]~74_combout\) # !\u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[5]~7\ & ((\u6|Div0|auto_generated|divider|divider|StageOut[125]~562_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|StageOut[125]~74_combout\))
-- \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[6]~9\ = CARRY(!\u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[5]~7\ & (\u6|Div0|auto_generated|divider|divider|StageOut[125]~562_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|StageOut[125]~74_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1110000100001110",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[125]~562_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|StageOut[125]~74_combout\,
        datad => VCC,
        cin => \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[5]~7\,
        combout => \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[6]~8_combout\,
        cout => \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[6]~9\);

\u6|Div0|auto_generated|divider|divider|StageOut[126]~73\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[126]~73_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[6]~8_combout\ & !\u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[6]~8_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[126]~73_combout\);

\u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[7]~11\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[7]~11_cout\ = CARRY(!\u6|Div0|auto_generated|divider|divider|StageOut[126]~561_combout\ & !\u6|Div0|auto_generated|divider|divider|StageOut[126]~73_combout\ & 
-- !\u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[6]~9\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000000000001",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[126]~561_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|StageOut[126]~73_combout\,
        datad => VCC,
        cin => \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[6]~9\,
        cout => \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[7]~11_cout\);

\u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[7]~11_cout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000011110000",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        cin => \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[7]~11_cout\,
        combout => \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[134]~57\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[134]~57_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[6]~8_combout\ & !\u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[6]~8_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[134]~57_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[133]~566\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[133]~566_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\ & (\u6|Div0|auto_generated|divider|divider|StageOut[124]~563_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[4]~4_combout\ & !\u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111001000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[4]~4_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\,
        datac => \u6|Div0|auto_generated|divider|divider|StageOut[124]~563_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[133]~566_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[132]~59\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[132]~59_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[4]~4_combout\ & !\u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[4]~4_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[132]~59_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[131]~60\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[131]~60_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[3]~2_combout\ & !\u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011001100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[3]~2_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[131]~60_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[130]~590\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[130]~590_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\ & (\u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\ & 
-- \u6|Mult0|auto_generated|mac_out2~DATAOUT5\ # !\u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\ & (\u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[1]~14_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1011100000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Mult0|auto_generated|mac_out2~DATAOUT5\,
        datab => \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\,
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[1]~14_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[130]~590_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[129]~54\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[129]~54_combout\ = \u6|Mult0|auto_generated|mac_out2~DATAOUT4\ & \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Mult0|auto_generated|mac_out2~DATAOUT4\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[129]~54_combout\);

\u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[4]~4\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[4]~4_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[3]~3\ & ((\u6|Div0|auto_generated|divider|divider|StageOut[131]~568_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|StageOut[131]~60_combout\)) # !\u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[3]~3\ & (\u6|Div0|auto_generated|divider|divider|StageOut[131]~568_combout\ # 
-- \u6|Div0|auto_generated|divider|divider|StageOut[131]~60_combout\ # GND)
-- \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[4]~5\ = CARRY(\u6|Div0|auto_generated|divider|divider|StageOut[131]~568_combout\ # \u6|Div0|auto_generated|divider|divider|StageOut[131]~60_combout\ # 
-- !\u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[3]~3\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0001111011101111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[131]~568_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|StageOut[131]~60_combout\,
        datad => VCC,
        cin => \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[3]~3\,
        combout => \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[4]~4_combout\,
        cout => \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[4]~5\);

\u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[5]~6\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[5]~6_combout\ = \u6|Div0|auto_generated|divider|divider|StageOut[132]~567_combout\ & (!\u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[4]~5\) # 
-- !\u6|Div0|auto_generated|divider|divider|StageOut[132]~567_combout\ & (\u6|Div0|auto_generated|divider|divider|StageOut[132]~59_combout\ & !\u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[4]~5\ # 
-- !\u6|Div0|auto_generated|divider|divider|StageOut[132]~59_combout\ & (\u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[4]~5\ # GND))
-- \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[5]~7\ = CARRY(!\u6|Div0|auto_generated|divider|divider|StageOut[132]~567_combout\ & !\u6|Div0|auto_generated|divider|divider|StageOut[132]~59_combout\ # 
-- !\u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[4]~5\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0001111000011111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[132]~567_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|StageOut[132]~59_combout\,
        datad => VCC,
        cin => \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[4]~5\,
        combout => \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[5]~6_combout\,
        cout => \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[5]~7\);

\u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[7]~11\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[7]~11_cout\ = CARRY(!\u6|Div0|auto_generated|divider|divider|StageOut[134]~565_combout\ & !\u6|Div0|auto_generated|divider|divider|StageOut[134]~57_combout\ & 
-- !\u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[6]~9\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000000000001",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[134]~565_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|StageOut[134]~57_combout\,
        datad => VCC,
        cin => \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[6]~9\,
        cout => \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[7]~11_cout\);

\u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[7]~11_cout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000011110000",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        cin => \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[7]~11_cout\,
        combout => \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\);

\u6|PCM_Data_Difference[2]~1\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|PCM_Data_Difference[2]~1_combout\ = !\u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011111111",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\,
        combout => \u6|PCM_Data_Difference[2]~1_combout\);

\u5|PCM_Data_Difference[0]~1\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|PCM_Data_Difference[0]~1_combout\ = !\u6|ADPCM_Decoder_State_Counter\(0) & \u5|PCM_Data_Difference[0]~0_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|ADPCM_Decoder_State_Counter\(0),
        datad => \u5|PCM_Data_Difference[0]~0_combout\,
        combout => \u5|PCM_Data_Difference[0]~1_combout\);

\u6|PCM_Data_Difference[2]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u6|PCM_Data_Difference[2]~1_combout\,
        ena => \u5|PCM_Data_Difference[0]~1_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u6|PCM_Data_Difference\(2));

\u6|Add2~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add2~2_combout\ = \ADPCM_DECODER_DATA_RIGHT~regout\ $ \u6|PCM_Data_Difference\(2)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111111110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \ADPCM_DECODER_DATA_RIGHT~regout\,
        datad => \u6|PCM_Data_Difference\(2),
        combout => \u6|Add2~2_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[142]~569\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[142]~569_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\ & (\u6|Div0|auto_generated|divider|divider|StageOut[133]~566_combout\ # 
-- !\u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\ & \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[5]~6_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111010000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[5]~6_combout\,
        datac => \u6|Div0|auto_generated|divider|divider|StageOut[133]~566_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[142]~569_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[141]~42\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[141]~42_combout\ = !\u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\ & \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[5]~6_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[5]~6_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[141]~42_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[140]~43\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[140]~43_combout\ = !\u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\ & \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[4]~4_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[4]~4_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[140]~43_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[139]~572\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[139]~572_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\ & (\u6|Div0|auto_generated|divider|divider|StageOut[130]~590_combout\ # 
-- !\u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\ & \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[2]~0_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1101110000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|StageOut[130]~590_combout\,
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[2]~0_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[139]~572_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[120]~71\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[120]~71_combout\ = \u6|Mult0|auto_generated|mac_out2~DATAOUT4\ & \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Mult0|auto_generated|mac_out2~DATAOUT4\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[120]~71_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[120]~79\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[120]~79_combout\ = \u6|Mult0|auto_generated|mac_out2~DATAOUT4\ & !\u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Mult0|auto_generated|mac_out2~DATAOUT4\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[120]~79_combout\);

\u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[1]~14\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[1]~14_combout\ = \u6|Div0|auto_generated|divider|divider|StageOut[120]~71_combout\ # \u6|Div0|auto_generated|divider|divider|StageOut[120]~79_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|StageOut[120]~71_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|StageOut[120]~79_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[1]~14_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[138]~591\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[138]~591_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\ & (\u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\ & 
-- \u6|Mult0|auto_generated|mac_out2~DATAOUT4\ # !\u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\ & (\u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[1]~14_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1101100000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\,
        datab => \u6|Mult0|auto_generated|mac_out2~DATAOUT4\,
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[1]~14_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[138]~591_combout\);

\u6|Div0|auto_generated|divider|divider|StageOut[137]~46\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|StageOut[137]~46_combout\ = \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[1]~14_combout\ & !\u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000010101010",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[1]~14_combout\,
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\,
        combout => \u6|Div0|auto_generated|divider|divider|StageOut[137]~46_combout\);

\u6|Div0|auto_generated|divider|divider|op_9~32\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|op_9~32_cout\ = CARRY(!\u6|Div0|auto_generated|divider|divider|StageOut[142]~41_combout\ & !\u6|Div0|auto_generated|divider|divider|StageOut[142]~569_combout\ & !\u6|Div0|auto_generated|divider|divider|op_9~30\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000000000001",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Div0|auto_generated|divider|divider|StageOut[142]~41_combout\,
        datab => \u6|Div0|auto_generated|divider|divider|StageOut[142]~569_combout\,
        datad => VCC,
        cin => \u6|Div0|auto_generated|divider|divider|op_9~30\,
        cout => \u6|Div0|auto_generated|divider|divider|op_9~32_cout\);

\u6|Div0|auto_generated|divider|divider|op_9~33\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Div0|auto_generated|divider|divider|op_9~33_combout\ = \u6|Div0|auto_generated|divider|divider|op_9~32_cout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000011110000",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        cin => \u6|Div0|auto_generated|divider|divider|op_9~32_cout\,
        combout => \u6|Div0|auto_generated|divider|divider|op_9~33_combout\);

\u6|PCM_Data_Difference[1]~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|PCM_Data_Difference[1]~2_combout\ = !\u6|Div0|auto_generated|divider|divider|op_9~33_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011111111",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datad => \u6|Div0|auto_generated|divider|divider|op_9~33_combout\,
        combout => \u6|PCM_Data_Difference[1]~2_combout\);

\u6|PCM_Data_Difference[1]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u6|PCM_Data_Difference[1]~2_combout\,
        ena => \u5|PCM_Data_Difference[0]~1_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u6|PCM_Data_Difference\(1));

\u6|Add2~3\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add2~3_combout\ = \u6|PCM_Data_Difference\(1) $ \ADPCM_DECODER_DATA_RIGHT~regout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110000111100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u6|PCM_Data_Difference\(1),
        datac => \ADPCM_DECODER_DATA_RIGHT~regout\,
        combout => \u6|Add2~3_combout\);

\u6|Add2~9\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add2~9_combout\ = (\u6|Last_PCM_Data\(1) $ \u6|Add2~3_combout\ $ !\u6|Add2~8\) # GND
-- \u6|Add2~10\ = CARRY(\u6|Last_PCM_Data\(1) & (\u6|Add2~3_combout\ # !\u6|Add2~8\) # !\u6|Last_PCM_Data\(1) & \u6|Add2~3_combout\ & !\u6|Add2~8\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0110100110001110",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Last_PCM_Data\(1),
        datab => \u6|Add2~3_combout\,
        datad => VCC,
        cin => \u6|Add2~8\,
        combout => \u6|Add2~9_combout\,
        cout => \u6|Add2~10\);

\u6|Add2~11\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add2~11_combout\ = \u6|Last_PCM_Data\(2) & (\u6|Add2~2_combout\ & \u6|Add2~10\ & VCC # !\u6|Add2~2_combout\ & !\u6|Add2~10\) # !\u6|Last_PCM_Data\(2) & (\u6|Add2~2_combout\ & !\u6|Add2~10\ # !\u6|Add2~2_combout\ & (\u6|Add2~10\ # GND))
-- \u6|Add2~12\ = CARRY(\u6|Last_PCM_Data\(2) & !\u6|Add2~2_combout\ & !\u6|Add2~10\ # !\u6|Last_PCM_Data\(2) & (!\u6|Add2~10\ # !\u6|Add2~2_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1001011000010111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Last_PCM_Data\(2),
        datab => \u6|Add2~2_combout\,
        datad => VCC,
        cin => \u6|Add2~10\,
        combout => \u6|Add2~11_combout\,
        cout => \u6|Add2~12\);

\u6|Last_PCM_Data[2]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u6|Add2~11_combout\,
        ena => \u5|Last_PCM_Data[15]~17_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u6|Last_PCM_Data\(2));

\u6|PCM_Data[2]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u6|Mux58~0_combout\,
        sdata => \u6|Last_PCM_Data\(2),
        sload => \u6|ADPCM_Decoder_State_Counter\(0),
        ena => \u5|PCM_Data[15]~182_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u6|PCM_Data\(2));

\u6|Add17~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add17~0_combout\ = \u6|PCM_Data\(2) $ VCC
-- \u6|Add17~1\ = CARRY(\u6|PCM_Data\(2))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0101010110101010",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|PCM_Data\(2),
        datad => VCC,
        combout => \u6|Add17~0_combout\,
        cout => \u6|Add17~1\);

\u6|PCM_Data~162\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|PCM_Data~162_combout\ = \u6|LessThan15~5_combout\ & (\u6|Add17~0_combout\) # !\u6|LessThan15~5_combout\ & \u6|PCM_Data\(2)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111110000001100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u6|PCM_Data\(2),
        datac => \u6|LessThan15~5_combout\,
        datad => \u6|Add17~0_combout\,
        combout => \u6|PCM_Data~162_combout\);

\u6|Add18~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add18~0_combout\ = \u6|PCM_Data\(1) $ VCC
-- \u6|Add18~1\ = CARRY(\u6|PCM_Data\(1))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0101010110101010",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|PCM_Data\(1),
        datad => VCC,
        combout => \u6|Add18~0_combout\,
        cout => \u6|Add18~1\);

\u6|Add18~4\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add18~4_combout\ = \u6|PCM_Data~162_combout\ & \u6|Add18~1\ & VCC # !\u6|PCM_Data~162_combout\ & !\u6|Add18~1\
-- \u6|Add18~5\ = CARRY(!\u6|PCM_Data~162_combout\ & !\u6|Add18~1\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100001100000011",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u6|PCM_Data~162_combout\,
        datad => VCC,
        cin => \u6|Add18~1\,
        combout => \u6|Add18~4_combout\,
        cout => \u6|Add18~5\);

\u6|Add18~6\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add18~6_combout\ = \u6|PCM_Data~164_combout\ & (GND # !\u6|Add18~5\) # !\u6|PCM_Data~164_combout\ & (\u6|Add18~5\ $ GND)
-- \u6|Add18~7\ = CARRY(\u6|PCM_Data~164_combout\ # !\u6|Add18~5\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0101101010101111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|PCM_Data~164_combout\,
        datad => VCC,
        cin => \u6|Add18~5\,
        combout => \u6|Add18~6_combout\,
        cout => \u6|Add18~7\);

\u6|Add18~8\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add18~8_combout\ = \u6|PCM_Data~165_combout\ & \u6|Add18~7\ & VCC # !\u6|PCM_Data~165_combout\ & !\u6|Add18~7\
-- \u6|Add18~9\ = CARRY(!\u6|PCM_Data~165_combout\ & !\u6|Add18~7\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010010100000101",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|PCM_Data~165_combout\,
        datad => VCC,
        cin => \u6|Add18~7\,
        combout => \u6|Add18~8_combout\,
        cout => \u6|Add18~9\);

\u6|Add18~39\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add18~39_combout\ = \u6|LessThan16~5_combout\ & \u6|Add18~8_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|LessThan16~5_combout\,
        datad => \u6|Add18~8_combout\,
        combout => \u6|Add18~39_combout\);

\u6|Add18~37\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add18~37_combout\ = \u6|Add18~6_combout\ & \u6|LessThan16~5_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100000011000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u6|Add18~6_combout\,
        datac => \u6|LessThan16~5_combout\,
        combout => \u6|Add18~37_combout\);

\u6|Add18~36\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add18~36_combout\ = \u6|Add18~4_combout\ & \u6|LessThan16~5_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Add18~4_combout\,
        datad => \u6|LessThan16~5_combout\,
        combout => \u6|Add18~36_combout\);

\u6|Add19~6\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add19~6_combout\ = \u6|Add18~37_combout\ & \u6|Add19~5\ & VCC # !\u6|Add18~37_combout\ & !\u6|Add19~5\
-- \u6|Add19~7\ = CARRY(!\u6|Add18~37_combout\ & !\u6|Add19~5\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100001100000011",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u6|Add18~37_combout\,
        datad => VCC,
        cin => \u6|Add19~5\,
        combout => \u6|Add19~6_combout\,
        cout => \u6|Add19~7\);

\u6|Add19~8\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add19~8_combout\ = \u6|Add18~39_combout\ & (GND # !\u6|Add19~7\) # !\u6|Add18~39_combout\ & (\u6|Add19~7\ $ GND)
-- \u6|Add19~9\ = CARRY(\u6|Add18~39_combout\ # !\u6|Add19~7\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110011001111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u6|Add18~39_combout\,
        datad => VCC,
        cin => \u6|Add19~7\,
        combout => \u6|Add19~8_combout\,
        cout => \u6|Add19~9\);

\u6|Mux56~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Mux56~0_combout\ = \u6|LessThan17~4_combout\ & \u6|Add19~8_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100110000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u6|LessThan17~4_combout\,
        datad => \u6|Add19~8_combout\,
        combout => \u6|Mux56~0_combout\);

\u6|PCM_Data_Difference[3]~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|PCM_Data_Difference[3]~0_combout\ = !\u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011111111",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\,
        combout => \u6|PCM_Data_Difference[3]~0_combout\);

\u6|PCM_Data_Difference[3]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u6|PCM_Data_Difference[3]~0_combout\,
        ena => \u5|PCM_Data_Difference[0]~1_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u6|PCM_Data_Difference\(3));

\u6|Add2~1\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add2~1_combout\ = \ADPCM_DECODER_DATA_RIGHT~regout\ $ \u6|PCM_Data_Difference\(3)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111111110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \ADPCM_DECODER_DATA_RIGHT~regout\,
        datad => \u6|PCM_Data_Difference\(3),
        combout => \u6|Add2~1_combout\);

\u6|Add2~13\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add2~13_combout\ = (\u6|Last_PCM_Data\(3) $ \u6|Add2~1_combout\ $ !\u6|Add2~12\) # GND
-- \u6|Add2~14\ = CARRY(\u6|Last_PCM_Data\(3) & (\u6|Add2~1_combout\ # !\u6|Add2~12\) # !\u6|Last_PCM_Data\(3) & \u6|Add2~1_combout\ & !\u6|Add2~12\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0110100110001110",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Last_PCM_Data\(3),
        datab => \u6|Add2~1_combout\,
        datad => VCC,
        cin => \u6|Add2~12\,
        combout => \u6|Add2~13_combout\,
        cout => \u6|Add2~14\);

\u6|Add2~16\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add2~16_combout\ = \u6|Add2~15_combout\ & (\u6|Last_PCM_Data\(4) & \u6|Add2~14\ & VCC # !\u6|Last_PCM_Data\(4) & !\u6|Add2~14\) # !\u6|Add2~15_combout\ & (\u6|Last_PCM_Data\(4) & !\u6|Add2~14\ # !\u6|Last_PCM_Data\(4) & (\u6|Add2~14\ # GND))
-- \u6|Add2~17\ = CARRY(\u6|Add2~15_combout\ & !\u6|Last_PCM_Data\(4) & !\u6|Add2~14\ # !\u6|Add2~15_combout\ & (!\u6|Add2~14\ # !\u6|Last_PCM_Data\(4)))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1001011000010111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Add2~15_combout\,
        datab => \u6|Last_PCM_Data\(4),
        datad => VCC,
        cin => \u6|Add2~14\,
        combout => \u6|Add2~16_combout\,
        cout => \u6|Add2~17\);

\u6|Last_PCM_Data[4]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u6|Add2~16_combout\,
        ena => \u5|Last_PCM_Data[15]~17_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u6|Last_PCM_Data\(4));

\u6|PCM_Data[4]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u6|Mux56~0_combout\,
        sdata => \u6|Last_PCM_Data\(4),
        sload => \u6|ADPCM_Decoder_State_Counter\(0),
        ena => \u5|PCM_Data[15]~182_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u6|PCM_Data\(4));

\u6|Mux57~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Mux57~0_combout\ = \u6|LessThan17~4_combout\ & \u6|Add19~6_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100110000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u6|LessThan17~4_combout\,
        datad => \u6|Add19~6_combout\,
        combout => \u6|Mux57~0_combout\);

\u6|Last_PCM_Data[3]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u6|Add2~13_combout\,
        ena => \u5|Last_PCM_Data[15]~17_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u6|Last_PCM_Data\(3));

\u6|PCM_Data[3]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u6|Mux57~0_combout\,
        sdata => \u6|Last_PCM_Data\(3),
        sload => \u6|ADPCM_Decoder_State_Counter\(0),
        ena => \u5|PCM_Data[15]~182_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u6|PCM_Data\(3));

\u6|LessThan2~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|LessThan2~0_combout\ = !\u6|PCM_Data\(1) & !\u6|PCM_Data\(3) & !\u6|PCM_Data\(2) & !\u6|PCM_Data\(0)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000000000001",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|PCM_Data\(1),
        datab => \u6|PCM_Data\(3),
        datac => \u6|PCM_Data\(2),
        datad => \u6|PCM_Data\(0),
        combout => \u6|LessThan2~0_combout\);

\u6|LessThan2~6\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|LessThan2~6_combout\ = \u6|PCM_Data\(4) # !\u6|LessThan2~0_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000011111111",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|PCM_Data\(4),
        datad => \u6|LessThan2~0_combout\,
        combout => \u6|LessThan2~6_combout\);

\u6|Add16~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add16~0_combout\ = \u6|PCM_Data\(3) $ VCC
-- \u6|Add16~1\ = CARRY(\u6|PCM_Data\(3))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0101010110101010",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|PCM_Data\(3),
        datad => VCC,
        combout => \u6|Add16~0_combout\,
        cout => \u6|Add16~1\);

\u6|Add16~3\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add16~3_combout\ = \u6|PCM_Data~154_combout\ & \u6|Add16~1\ & VCC # !\u6|PCM_Data~154_combout\ & !\u6|Add16~1\
-- \u6|Add16~4\ = CARRY(!\u6|PCM_Data~154_combout\ & !\u6|Add16~1\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100001100000011",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u6|PCM_Data~154_combout\,
        datad => VCC,
        cin => \u6|Add16~1\,
        combout => \u6|Add16~3_combout\,
        cout => \u6|Add16~4\);

\u6|Add16~5\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add16~5_combout\ = \u6|PCM_Data~160_combout\ & (GND # !\u6|Add16~4\) # !\u6|PCM_Data~160_combout\ & (\u6|Add16~4\ $ GND)
-- \u6|Add16~6\ = CARRY(\u6|PCM_Data~160_combout\ # !\u6|Add16~4\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0101101010101111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|PCM_Data~160_combout\,
        datad => VCC,
        cin => \u6|Add16~4\,
        combout => \u6|Add16~5_combout\,
        cout => \u6|Add16~6\);

\u6|Add16~29\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add16~29_combout\ = \u6|Add16~5_combout\ & \u6|LessThan14~4_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Add16~5_combout\,
        datad => \u6|LessThan14~4_combout\,
        combout => \u6|Add16~29_combout\);

\u6|Add16~27\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add16~27_combout\ = \u6|LessThan14~4_combout\ & \u6|Add16~3_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|LessThan14~4_combout\,
        datad => \u6|Add16~3_combout\,
        combout => \u6|Add16~27_combout\);

\u6|Add16~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add16~2_combout\ = \u6|LessThan14~4_combout\ & \u6|Add16~0_combout\ # !\u6|LessThan14~4_combout\ & (\u6|PCM_Data\(3))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100110011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u6|Add16~0_combout\,
        datac => \u6|PCM_Data\(3),
        datad => \u6|LessThan14~4_combout\,
        combout => \u6|Add16~2_combout\);

\u6|Add17~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add17~2_combout\ = \u6|Add16~2_combout\ & \u6|Add17~1\ & VCC # !\u6|Add16~2_combout\ & !\u6|Add17~1\
-- \u6|Add17~3\ = CARRY(!\u6|Add16~2_combout\ & !\u6|Add17~1\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100001100000011",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u6|Add16~2_combout\,
        datad => VCC,
        cin => \u6|Add17~1\,
        combout => \u6|Add17~2_combout\,
        cout => \u6|Add17~3\);

\u6|Add17~4\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add17~4_combout\ = \u6|Add16~27_combout\ & (GND # !\u6|Add17~3\) # !\u6|Add16~27_combout\ & (\u6|Add17~3\ $ GND)
-- \u6|Add17~5\ = CARRY(\u6|Add16~27_combout\ # !\u6|Add17~3\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110011001111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u6|Add16~27_combout\,
        datad => VCC,
        cin => \u6|Add17~3\,
        combout => \u6|Add17~4_combout\,
        cout => \u6|Add17~5\);

\u6|Add17~6\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add17~6_combout\ = \u6|Add16~29_combout\ & \u6|Add17~5\ & VCC # !\u6|Add16~29_combout\ & !\u6|Add17~5\
-- \u6|Add17~7\ = CARRY(!\u6|Add16~29_combout\ & !\u6|Add17~5\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100001100000011",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u6|Add16~29_combout\,
        datad => VCC,
        cin => \u6|Add17~5\,
        combout => \u6|Add17~6_combout\,
        cout => \u6|Add17~7\);

\u6|PCM_Data~166\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|PCM_Data~166_combout\ = \u6|Add17~6_combout\ & \u6|LessThan15~5_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100110000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u6|Add17~6_combout\,
        datad => \u6|LessThan15~5_combout\,
        combout => \u6|PCM_Data~166_combout\);

\u6|Add18~10\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add18~10_combout\ = \u6|PCM_Data~166_combout\ & (GND # !\u6|Add18~9\) # !\u6|PCM_Data~166_combout\ & (\u6|Add18~9\ $ GND)
-- \u6|Add18~11\ = CARRY(\u6|PCM_Data~166_combout\ # !\u6|Add18~9\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110011001111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u6|PCM_Data~166_combout\,
        datad => VCC,
        cin => \u6|Add18~9\,
        combout => \u6|Add18~10_combout\,
        cout => \u6|Add18~11\);

\u6|Add18~40\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add18~40_combout\ = \u6|LessThan16~5_combout\ & \u6|Add18~10_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|LessThan16~5_combout\,
        datad => \u6|Add18~10_combout\,
        combout => \u6|Add18~40_combout\);

\u6|Add19~10\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add19~10_combout\ = \u6|Add18~40_combout\ & \u6|Add19~9\ & VCC # !\u6|Add18~40_combout\ & !\u6|Add19~9\
-- \u6|Add19~11\ = CARRY(!\u6|Add18~40_combout\ & !\u6|Add19~9\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100001100000011",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u6|Add18~40_combout\,
        datad => VCC,
        cin => \u6|Add19~9\,
        combout => \u6|Add19~10_combout\,
        cout => \u6|Add19~11\);

\u6|Add19~12\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add19~12_combout\ = \u6|Add18~32_combout\ & (GND # !\u6|Add19~11\) # !\u6|Add18~32_combout\ & (\u6|Add19~11\ $ GND)
-- \u6|Add19~13\ = CARRY(\u6|Add18~32_combout\ # !\u6|Add19~11\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0101101010101111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Add18~32_combout\,
        datad => VCC,
        cin => \u6|Add19~11\,
        combout => \u6|Add19~12_combout\,
        cout => \u6|Add19~13\);

\u6|Mux54~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Mux54~0_combout\ = \u6|LessThan17~4_combout\ & \u6|Add19~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100110000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u6|LessThan17~4_combout\,
        datad => \u6|Add19~12_combout\,
        combout => \u6|Mux54~0_combout\);

\u6|Add2~19\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add2~19_combout\ = (\u6|Add2~18_combout\ $ \u6|Last_PCM_Data\(5) $ !\u6|Add2~17\) # GND
-- \u6|Add2~20\ = CARRY(\u6|Add2~18_combout\ & (\u6|Last_PCM_Data\(5) # !\u6|Add2~17\) # !\u6|Add2~18_combout\ & \u6|Last_PCM_Data\(5) & !\u6|Add2~17\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0110100110001110",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Add2~18_combout\,
        datab => \u6|Last_PCM_Data\(5),
        datad => VCC,
        cin => \u6|Add2~17\,
        combout => \u6|Add2~19_combout\,
        cout => \u6|Add2~20\);

\u6|Last_PCM_Data[5]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u6|Add2~19_combout\,
        ena => \u5|Last_PCM_Data[15]~17_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u6|Last_PCM_Data\(5));

\u6|Add2~22\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add2~22_combout\ = \u6|Add2~21_combout\ & (\u6|Last_PCM_Data\(6) & \u6|Add2~20\ & VCC # !\u6|Last_PCM_Data\(6) & !\u6|Add2~20\) # !\u6|Add2~21_combout\ & (\u6|Last_PCM_Data\(6) & !\u6|Add2~20\ # !\u6|Last_PCM_Data\(6) & (\u6|Add2~20\ # GND))
-- \u6|Add2~23\ = CARRY(\u6|Add2~21_combout\ & !\u6|Last_PCM_Data\(6) & !\u6|Add2~20\ # !\u6|Add2~21_combout\ & (!\u6|Add2~20\ # !\u6|Last_PCM_Data\(6)))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1001011000010111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Add2~21_combout\,
        datab => \u6|Last_PCM_Data\(6),
        datad => VCC,
        cin => \u6|Add2~20\,
        combout => \u6|Add2~22_combout\,
        cout => \u6|Add2~23\);

\u6|Last_PCM_Data[6]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u6|Add2~22_combout\,
        ena => \u5|Last_PCM_Data[15]~17_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u6|Last_PCM_Data\(6));

\u6|PCM_Data[6]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u6|Mux54~0_combout\,
        sdata => \u6|Last_PCM_Data\(6),
        sload => \u6|ADPCM_Decoder_State_Counter\(0),
        ena => \u5|PCM_Data[15]~182_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u6|PCM_Data\(6));

\u6|Mux55~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Mux55~0_combout\ = \u6|Add19~10_combout\ & \u6|LessThan17~4_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100110000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u6|Add19~10_combout\,
        datad => \u6|LessThan17~4_combout\,
        combout => \u6|Mux55~0_combout\);

\u6|PCM_Data[5]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u6|Mux55~0_combout\,
        sdata => \u6|Last_PCM_Data\(5),
        sload => \u6|ADPCM_Decoder_State_Counter\(0),
        ena => \u5|PCM_Data[15]~182_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u6|PCM_Data\(5));

\u6|PCM_Data~146\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|PCM_Data~146_combout\ = \u6|PCM_Data\(6) & (\u6|PCM_Data\(4) # \u6|PCM_Data\(5) # !\u6|LessThan2~0_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1110000011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|PCM_Data\(4),
        datab => \u6|PCM_Data\(5),
        datac => \u6|PCM_Data\(6),
        datad => \u6|LessThan2~0_combout\,
        combout => \u6|PCM_Data~146_combout\);

\u6|Add19~14\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add19~14_combout\ = \u6|Add18~33_combout\ & \u6|Add19~13\ & VCC # !\u6|Add18~33_combout\ & !\u6|Add19~13\
-- \u6|Add19~15\ = CARRY(!\u6|Add18~33_combout\ & !\u6|Add19~13\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010010100000101",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Add18~33_combout\,
        datad => VCC,
        cin => \u6|Add19~13\,
        combout => \u6|Add19~14_combout\,
        cout => \u6|Add19~15\);

\u6|Mux53~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Mux53~0_combout\ = \u6|Add19~14_combout\ & \u6|LessThan17~4_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100110000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u6|Add19~14_combout\,
        datad => \u6|LessThan17~4_combout\,
        combout => \u6|Mux53~0_combout\);

\u6|Add2~25\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add2~25_combout\ = (\u6|Add2~24_combout\ $ \u6|Last_PCM_Data\(7) $ !\u6|Add2~23\) # GND
-- \u6|Add2~26\ = CARRY(\u6|Add2~24_combout\ & (\u6|Last_PCM_Data\(7) # !\u6|Add2~23\) # !\u6|Add2~24_combout\ & \u6|Last_PCM_Data\(7) & !\u6|Add2~23\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0110100110001110",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Add2~24_combout\,
        datab => \u6|Last_PCM_Data\(7),
        datad => VCC,
        cin => \u6|Add2~23\,
        combout => \u6|Add2~25_combout\,
        cout => \u6|Add2~26\);

\u6|Last_PCM_Data[7]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u6|Add2~25_combout\,
        ena => \u5|Last_PCM_Data[15]~17_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u6|Last_PCM_Data\(7));

\u6|PCM_Data[7]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u6|Mux53~0_combout\,
        sdata => \u6|Last_PCM_Data\(7),
        sload => \u6|ADPCM_Decoder_State_Counter\(0),
        ena => \u5|PCM_Data[15]~182_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u6|PCM_Data\(7));

\u6|LessThan2~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|LessThan2~2_combout\ = \u6|LessThan2~1_combout\ & !\u6|PCM_Data\(6) & !\u6|PCM_Data\(7) & \u6|LessThan2~0_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000001000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|LessThan2~1_combout\,
        datab => \u6|PCM_Data\(6),
        datac => \u6|PCM_Data\(7),
        datad => \u6|LessThan2~0_combout\,
        combout => \u6|LessThan2~2_combout\);

\u6|Mux52~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Mux52~0_combout\ = \u6|Add19~16_combout\ & \u6|LessThan17~4_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010101000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Add19~16_combout\,
        datad => \u6|LessThan17~4_combout\,
        combout => \u6|Mux52~0_combout\);

\u6|Add2~28\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add2~28_combout\ = \u6|Add2~27_combout\ & (\u6|Last_PCM_Data\(8) & \u6|Add2~26\ & VCC # !\u6|Last_PCM_Data\(8) & !\u6|Add2~26\) # !\u6|Add2~27_combout\ & (\u6|Last_PCM_Data\(8) & !\u6|Add2~26\ # !\u6|Last_PCM_Data\(8) & (\u6|Add2~26\ # GND))
-- \u6|Add2~29\ = CARRY(\u6|Add2~27_combout\ & !\u6|Last_PCM_Data\(8) & !\u6|Add2~26\ # !\u6|Add2~27_combout\ & (!\u6|Add2~26\ # !\u6|Last_PCM_Data\(8)))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1001011000010111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Add2~27_combout\,
        datab => \u6|Last_PCM_Data\(8),
        datad => VCC,
        cin => \u6|Add2~26\,
        combout => \u6|Add2~28_combout\,
        cout => \u6|Add2~29\);

\u6|Last_PCM_Data[8]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u6|Add2~28_combout\,
        ena => \u5|Last_PCM_Data[15]~17_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u6|Last_PCM_Data\(8));

\u6|PCM_Data[8]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u6|Mux52~0_combout\,
        sdata => \u6|Last_PCM_Data\(8),
        sload => \u6|ADPCM_Decoder_State_Counter\(0),
        ena => \u5|PCM_Data[15]~182_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u6|PCM_Data\(8));

\u6|Add10~14\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add10~14_combout\ = \u6|PCM_Data\(8) # !\u6|LessThan2~2_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111100001111",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|LessThan2~2_combout\,
        datad => \u6|PCM_Data\(8),
        combout => \u6|Add10~14_combout\);

\u6|Add8~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add8~0_combout\ = \u6|PCM_Data\(11) $ VCC
-- \u6|Add8~1\ = CARRY(\u6|PCM_Data\(11))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0101010110101010",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|PCM_Data\(11),
        datad => VCC,
        combout => \u6|Add8~0_combout\,
        cout => \u6|Add8~1\);

\u6|Add8~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add8~2_combout\ = \u6|LessThan6~0_combout\ & (\u6|Add8~0_combout\) # !\u6|LessThan6~0_combout\ & \u6|PCM_Data\(11)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111101000001010",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|PCM_Data\(11),
        datac => \u6|LessThan6~0_combout\,
        datad => \u6|Add8~0_combout\,
        combout => \u6|Add8~2_combout\);

\u6|Add19~18\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add19~18_combout\ = \u6|Add18~43_combout\ & \u6|Add19~17\ & VCC # !\u6|Add18~43_combout\ & !\u6|Add19~17\
-- \u6|Add19~19\ = CARRY(!\u6|Add18~43_combout\ & !\u6|Add19~17\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010010100000101",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Add18~43_combout\,
        datad => VCC,
        cin => \u6|Add19~17\,
        combout => \u6|Add19~18_combout\,
        cout => \u6|Add19~19\);

\u6|Mux51~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Mux51~0_combout\ = \u6|Add19~18_combout\ & \u6|LessThan17~4_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100110000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u6|Add19~18_combout\,
        datad => \u6|LessThan17~4_combout\,
        combout => \u6|Mux51~0_combout\);

\u6|Add2~31\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add2~31_combout\ = (\u6|Add2~30_combout\ $ \u6|Last_PCM_Data\(9) $ !\u6|Add2~29\) # GND
-- \u6|Add2~32\ = CARRY(\u6|Add2~30_combout\ & (\u6|Last_PCM_Data\(9) # !\u6|Add2~29\) # !\u6|Add2~30_combout\ & \u6|Last_PCM_Data\(9) & !\u6|Add2~29\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0110100110001110",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Add2~30_combout\,
        datab => \u6|Last_PCM_Data\(9),
        datad => VCC,
        cin => \u6|Add2~29\,
        combout => \u6|Add2~31_combout\,
        cout => \u6|Add2~32\);

\u6|Last_PCM_Data[9]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u6|Add2~31_combout\,
        ena => \u5|Last_PCM_Data[15]~17_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u6|Last_PCM_Data\(9));

\u6|PCM_Data[9]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u6|Mux51~0_combout\,
        sdata => \u6|Last_PCM_Data\(9),
        sload => \u6|ADPCM_Decoder_State_Counter\(0),
        ena => \u5|PCM_Data[15]~182_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u6|PCM_Data\(9));

\u6|Add19~20\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add19~20_combout\ = \u6|Add18~35_combout\ & (GND # !\u6|Add19~19\) # !\u6|Add18~35_combout\ & (\u6|Add19~19\ $ GND)
-- \u6|Add19~21\ = CARRY(\u6|Add18~35_combout\ # !\u6|Add19~19\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0101101010101111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Add18~35_combout\,
        datad => VCC,
        cin => \u6|Add19~19\,
        combout => \u6|Add19~20_combout\,
        cout => \u6|Add19~21\);

\u6|Mux50~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Mux50~0_combout\ = \u6|LessThan17~4_combout\ & \u6|Add19~20_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100110000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u6|LessThan17~4_combout\,
        datad => \u6|Add19~20_combout\,
        combout => \u6|Mux50~0_combout\);

\u6|PCM_Data_Difference[10]~9\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|PCM_Data_Difference[10]~9_combout\ = !\u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011111111",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datad => \u6|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\,
        combout => \u6|PCM_Data_Difference[10]~9_combout\);

\u6|PCM_Data_Difference[10]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u6|PCM_Data_Difference[10]~9_combout\,
        ena => \u5|PCM_Data_Difference[0]~1_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u6|PCM_Data_Difference\(10));

\u6|Add2~33\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add2~33_combout\ = \ADPCM_DECODER_DATA_RIGHT~regout\ $ \u6|PCM_Data_Difference\(10)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111111110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \ADPCM_DECODER_DATA_RIGHT~regout\,
        datad => \u6|PCM_Data_Difference\(10),
        combout => \u6|Add2~33_combout\);

\u6|Add2~34\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add2~34_combout\ = \u6|Last_PCM_Data\(10) & (\u6|Add2~33_combout\ & \u6|Add2~32\ & VCC # !\u6|Add2~33_combout\ & !\u6|Add2~32\) # !\u6|Last_PCM_Data\(10) & (\u6|Add2~33_combout\ & !\u6|Add2~32\ # !\u6|Add2~33_combout\ & (\u6|Add2~32\ # GND))
-- \u6|Add2~35\ = CARRY(\u6|Last_PCM_Data\(10) & !\u6|Add2~33_combout\ & !\u6|Add2~32\ # !\u6|Last_PCM_Data\(10) & (!\u6|Add2~32\ # !\u6|Add2~33_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1001011000010111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Last_PCM_Data\(10),
        datab => \u6|Add2~33_combout\,
        datad => VCC,
        cin => \u6|Add2~32\,
        combout => \u6|Add2~34_combout\,
        cout => \u6|Add2~35\);

\u6|Last_PCM_Data[10]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u6|Add2~34_combout\,
        ena => \u5|Last_PCM_Data[15]~17_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u6|Last_PCM_Data\(10));

\u6|PCM_Data[10]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u6|Mux50~0_combout\,
        sdata => \u6|Last_PCM_Data\(10),
        sload => \u6|ADPCM_Decoder_State_Counter\(0),
        ena => \u5|PCM_Data[15]~182_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u6|PCM_Data\(10));

\u6|LessThan2~3\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|LessThan2~3_combout\ = !\u6|PCM_Data\(8) & !\u6|PCM_Data\(9) & \u6|LessThan2~2_combout\ & !\u6|PCM_Data\(10)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000000010000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|PCM_Data\(8),
        datab => \u6|PCM_Data\(9),
        datac => \u6|LessThan2~2_combout\,
        datad => \u6|PCM_Data\(10),
        combout => \u6|LessThan2~3_combout\);

\u6|LessThan2~5\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|LessThan2~5_combout\ = !\u6|PCM_Data\(11) & \u6|LessThan2~3_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|PCM_Data\(11),
        datad => \u6|LessThan2~3_combout\,
        combout => \u6|LessThan2~5_combout\);

\u6|LessThan5~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|LessThan5~0_combout\ = \u6|PCM_Data\(12) & (\u6|PCM_Data~136_combout\ & !\u6|PCM_Data\(13) # !\u6|LessThan2~5_combout\) # !\u6|PCM_Data\(12) & (\u6|PCM_Data~136_combout\ & (!\u6|PCM_Data\(13)) # !\u6|PCM_Data~136_combout\ & \u6|LessThan2~5_combout\ & 
-- \u6|PCM_Data\(13))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0010011011110010",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|PCM_Data\(12),
        datab => \u6|LessThan2~5_combout\,
        datac => \u6|PCM_Data~136_combout\,
        datad => \u6|PCM_Data\(13),
        combout => \u6|LessThan5~0_combout\);

\u6|Add8~3\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add8~3_combout\ = \u6|Add8~1\ & (\u6|PCM_Data\(12) $ \u6|LessThan5~0_combout\) # !\u6|Add8~1\ & (\u6|PCM_Data\(12) $ !\u6|LessThan5~0_combout\)
-- \u6|Add8~4\ = CARRY(!\u6|Add8~1\ & (\u6|PCM_Data\(12) $ !\u6|LessThan5~0_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0110100100001001",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|PCM_Data\(12),
        datab => \u6|LessThan5~0_combout\,
        datad => VCC,
        cin => \u6|Add8~1\,
        combout => \u6|Add8~3_combout\,
        cout => \u6|Add8~4\);

\u6|Add8~12\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add8~12_combout\ = \u6|LessThan6~0_combout\ & \u6|Add8~3_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|LessThan6~0_combout\,
        datad => \u6|Add8~3_combout\,
        combout => \u6|Add8~12_combout\);

\u6|Add9~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add9~0_combout\ = \u6|PCM_Data\(10) $ VCC
-- \u6|Add9~1\ = CARRY(\u6|PCM_Data\(10))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0101010110101010",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|PCM_Data\(10),
        datad => VCC,
        combout => \u6|Add9~0_combout\,
        cout => \u6|Add9~1\);

\u6|Add9~4\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add9~4_combout\ = \u6|Add8~12_combout\ & (GND # !\u6|Add9~3\) # !\u6|Add8~12_combout\ & (\u6|Add9~3\ $ GND)
-- \u6|Add9~5\ = CARRY(\u6|Add8~12_combout\ # !\u6|Add9~3\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110011001111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u6|Add8~12_combout\,
        datad => VCC,
        cin => \u6|Add9~3\,
        combout => \u6|Add9~4_combout\,
        cout => \u6|Add9~5\);

\u6|Add9~6\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add9~6_combout\ = \u6|Add8~13_combout\ & \u6|Add9~5\ & VCC # !\u6|Add8~13_combout\ & !\u6|Add9~5\
-- \u6|Add9~7\ = CARRY(!\u6|Add8~13_combout\ & !\u6|Add9~5\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010010100000101",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Add8~13_combout\,
        datad => VCC,
        cin => \u6|Add9~5\,
        combout => \u6|Add9~6_combout\,
        cout => \u6|Add9~7\);

\u6|Add10~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add10~0_combout\ = \u6|PCM_Data\(9) $ VCC
-- \u6|Add10~1\ = CARRY(\u6|PCM_Data\(9))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011001111001100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u6|PCM_Data\(9),
        datad => VCC,
        combout => \u6|Add10~0_combout\,
        cout => \u6|Add10~1\);

\u6|Add10~4\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add10~4_combout\ = \u6|PCM_Data~138_combout\ & \u6|Add10~1\ & VCC # !\u6|PCM_Data~138_combout\ & !\u6|Add10~1\
-- \u6|Add10~5\ = CARRY(!\u6|PCM_Data~138_combout\ & !\u6|Add10~1\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010010100000101",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|PCM_Data~138_combout\,
        datad => VCC,
        cin => \u6|Add10~1\,
        combout => \u6|Add10~4_combout\,
        cout => \u6|Add10~5\);

\u6|Add10~6\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add10~6_combout\ = \u6|PCM_Data~139_combout\ & (GND # !\u6|Add10~5\) # !\u6|PCM_Data~139_combout\ & (\u6|Add10~5\ $ GND)
-- \u6|Add10~7\ = CARRY(\u6|PCM_Data~139_combout\ # !\u6|Add10~5\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0101101010101111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|PCM_Data~139_combout\,
        datad => VCC,
        cin => \u6|Add10~5\,
        combout => \u6|Add10~6_combout\,
        cout => \u6|Add10~7\);

\u6|Add10~8\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add10~8_combout\ = \u6|LessThan7~2_combout\ & (\u6|Add9~4_combout\ & \u6|Add10~7\ & VCC # !\u6|Add9~4_combout\ & !\u6|Add10~7\) # !\u6|LessThan7~2_combout\ & (!\u6|Add10~7\)
-- \u6|Add10~9\ = CARRY(!\u6|Add10~7\ & (!\u6|Add9~4_combout\ # !\u6|LessThan7~2_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1000011100000111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|LessThan7~2_combout\,
        datab => \u6|Add9~4_combout\,
        datad => VCC,
        cin => \u6|Add10~7\,
        combout => \u6|Add10~8_combout\,
        cout => \u6|Add10~9\);

\u6|Add10~10\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add10~10_combout\ = \u6|Add10~9\ & ((\u6|LessThan7~2_combout\ & \u6|Add9~6_combout\)) # !\u6|Add10~9\ & (\u6|LessThan7~2_combout\ & \u6|Add9~6_combout\ # GND)
-- \u6|Add10~11\ = CARRY(\u6|LessThan7~2_combout\ & \u6|Add9~6_combout\ # !\u6|Add10~9\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0111100010001111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|LessThan7~2_combout\,
        datab => \u6|Add9~6_combout\,
        datad => VCC,
        cin => \u6|Add10~9\,
        combout => \u6|Add10~10_combout\,
        cout => \u6|Add10~11\);

\u6|Add10~16\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add10~16_combout\ = \u6|Add10~10_combout\ & (\u6|LessThan8~1_combout\ # \u6|PCM_Data\(9) & \u6|Add10~14_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111100000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|PCM_Data\(9),
        datab => \u6|Add10~14_combout\,
        datac => \u6|LessThan8~1_combout\,
        datad => \u6|Add10~10_combout\,
        combout => \u6|Add10~16_combout\);

\u6|Add8~5\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add8~5_combout\ = \u6|Add8~4\ & ((!\u6|Add7~1_combout\ & \u6|PCM_Data~137_combout\)) # !\u6|Add8~4\ & (!\u6|Add7~1_combout\ & \u6|PCM_Data~137_combout\ # GND)
-- \u6|Add8~6\ = CARRY(!\u6|Add7~1_combout\ & \u6|PCM_Data~137_combout\ # !\u6|Add8~4\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1011010001001111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Add7~1_combout\,
        datab => \u6|PCM_Data~137_combout\,
        datad => VCC,
        cin => \u6|Add8~4\,
        combout => \u6|Add8~5_combout\,
        cout => \u6|Add8~6\);

\u6|Add8~7\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add8~7_combout\ = \u6|Add7~0_combout\ & (!\u6|Add8~6\) # !\u6|Add7~0_combout\ & (\u6|PCM_Data~137_combout\ & \u6|Add8~6\ & VCC # !\u6|PCM_Data~137_combout\ & !\u6|Add8~6\)
-- \u6|Add8~8\ = CARRY(!\u6|Add8~6\ & (\u6|Add7~0_combout\ # !\u6|PCM_Data~137_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0100101100001011",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Add7~0_combout\,
        datab => \u6|PCM_Data~137_combout\,
        datad => VCC,
        cin => \u6|Add8~6\,
        combout => \u6|Add8~7_combout\,
        cout => \u6|Add8~8\);

\u6|Add8~14\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add8~14_combout\ = \u6|LessThan6~0_combout\ & \u6|Add8~7_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|LessThan6~0_combout\,
        datad => \u6|Add8~7_combout\,
        combout => \u6|Add8~14_combout\);

\u6|Add9~8\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add9~8_combout\ = \u6|Add8~14_combout\ & (GND # !\u6|Add9~7\) # !\u6|Add8~14_combout\ & (\u6|Add9~7\ $ GND)
-- \u6|Add9~9\ = CARRY(\u6|Add8~14_combout\ # !\u6|Add9~7\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110011001111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u6|Add8~14_combout\,
        datad => VCC,
        cin => \u6|Add9~7\,
        combout => \u6|Add9~8_combout\,
        cout => \u6|Add9~9\);

\u6|Add9~10\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add9~10_combout\ = \u6|Add9~9\ $ !\u6|Add8~11_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000001111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datad => \u6|Add8~11_combout\,
        cin => \u6|Add9~9\,
        combout => \u6|Add9~10_combout\);

\u6|Add10~12\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add10~12_combout\ = \u6|LessThan7~2_combout\ & (\u6|Add9~8_combout\ & \u6|Add10~11\ & VCC # !\u6|Add9~8_combout\ & !\u6|Add10~11\) # !\u6|LessThan7~2_combout\ & (!\u6|Add10~11\)
-- \u6|Add10~13\ = CARRY(!\u6|Add10~11\ & (!\u6|Add9~8_combout\ # !\u6|LessThan7~2_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1000011100000111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|LessThan7~2_combout\,
        datab => \u6|Add9~8_combout\,
        datad => VCC,
        cin => \u6|Add10~11\,
        combout => \u6|Add10~12_combout\,
        cout => \u6|Add10~13\);

\u6|Add10~17\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add10~17_combout\ = \u6|Add10~13\ $ (\u6|LessThan7~2_combout\ & \u6|Add9~10_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0101101011110000",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|LessThan7~2_combout\,
        datad => \u6|Add9~10_combout\,
        cin => \u6|Add10~13\,
        combout => \u6|Add10~17_combout\);

\u6|Add10~19\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add10~19_combout\ = \u6|Add10~17_combout\ & (\u6|LessThan8~1_combout\ # \u6|PCM_Data\(9) & \u6|Add10~14_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111100000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|PCM_Data\(9),
        datab => \u6|Add10~14_combout\,
        datac => \u6|LessThan8~1_combout\,
        datad => \u6|Add10~17_combout\,
        combout => \u6|Add10~19_combout\);

\u6|LessThan9~1\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|LessThan9~1_combout\ = \u6|Add10~20_combout\ # \u6|Add10~15_combout\ # \u6|Add10~16_combout\ # \u6|Add10~19_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111111110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Add10~20_combout\,
        datab => \u6|Add10~15_combout\,
        datac => \u6|Add10~16_combout\,
        datad => \u6|Add10~19_combout\,
        combout => \u6|LessThan9~1_combout\);

\u6|Add10~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add10~2_combout\ = \u6|PCM_Data\(9) & (\u6|Add10~0_combout\ # !\u6|PCM_Data\(8))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000001010000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|PCM_Data\(8),
        datac => \u6|PCM_Data\(9),
        datad => \u6|Add10~0_combout\,
        combout => \u6|Add10~2_combout\);

\u6|Add10~3\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add10~3_combout\ = \u6|LessThan8~1_combout\ & (\u6|Add10~0_combout\) # !\u6|LessThan8~1_combout\ & \u6|Add10~2_combout\ & (\u6|LessThan2~2_combout\ # \u6|Add10~0_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111110000001000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|LessThan2~2_combout\,
        datab => \u6|Add10~2_combout\,
        datac => \u6|LessThan8~1_combout\,
        datad => \u6|Add10~0_combout\,
        combout => \u6|Add10~3_combout\);

\u6|LessThan9~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|LessThan9~0_combout\ = \u6|Add10~3_combout\ # \u6|LessThan8~2_combout\ & (\u6|Add10~4_combout\ # \u6|Add10~12_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1110111011101100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|LessThan8~2_combout\,
        datab => \u6|Add10~3_combout\,
        datac => \u6|Add10~4_combout\,
        datad => \u6|Add10~12_combout\,
        combout => \u6|LessThan9~0_combout\);

\u6|LessThan9~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|LessThan9~2_combout\ = \u6|LessThan9~1_combout\ # \u6|LessThan9~0_combout\ # \u6|PCM_Data\(8) & !\u6|LessThan2~2_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111110010",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|PCM_Data\(8),
        datab => \u6|LessThan2~2_combout\,
        datac => \u6|LessThan9~1_combout\,
        datad => \u6|LessThan9~0_combout\,
        combout => \u6|LessThan9~2_combout\);

\u6|PCM_Data~141\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|PCM_Data~141_combout\ = \u6|Add11~4_combout\ & \u6|LessThan9~2_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010101000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Add11~4_combout\,
        datad => \u6|LessThan9~2_combout\,
        combout => \u6|PCM_Data~141_combout\);

\u6|PCM_Data~143\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|PCM_Data~143_combout\ = \u6|Add11~2_combout\ & \u6|LessThan9~2_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010101000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Add11~2_combout\,
        datad => \u6|LessThan9~2_combout\,
        combout => \u6|PCM_Data~143_combout\);

\u6|PCM_Data~140\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|PCM_Data~140_combout\ = \u6|LessThan9~2_combout\ & \u6|Add11~0_combout\ # !\u6|LessThan9~2_combout\ & (\u6|PCM_Data\(8))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010101011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Add11~0_combout\,
        datac => \u6|PCM_Data\(8),
        datad => \u6|LessThan9~2_combout\,
        combout => \u6|PCM_Data~140_combout\);

\u6|Add12~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add12~0_combout\ = \u6|PCM_Data\(7) $ VCC
-- \u6|Add12~1\ = CARRY(\u6|PCM_Data\(7))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011001111001100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u6|PCM_Data\(7),
        datad => VCC,
        combout => \u6|Add12~0_combout\,
        cout => \u6|Add12~1\);

\u6|Add12~3\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add12~3_combout\ = \u6|PCM_Data~140_combout\ & \u6|Add12~1\ & VCC # !\u6|PCM_Data~140_combout\ & !\u6|Add12~1\
-- \u6|Add12~4\ = CARRY(!\u6|PCM_Data~140_combout\ & !\u6|Add12~1\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100001100000011",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u6|PCM_Data~140_combout\,
        datad => VCC,
        cin => \u6|Add12~1\,
        combout => \u6|Add12~3_combout\,
        cout => \u6|Add12~4\);

\u6|Add12~5\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add12~5_combout\ = \u6|PCM_Data~143_combout\ & (GND # !\u6|Add12~4\) # !\u6|PCM_Data~143_combout\ & (\u6|Add12~4\ $ GND)
-- \u6|Add12~6\ = CARRY(\u6|PCM_Data~143_combout\ # !\u6|Add12~4\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110011001111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u6|PCM_Data~143_combout\,
        datad => VCC,
        cin => \u6|Add12~4\,
        combout => \u6|Add12~5_combout\,
        cout => \u6|Add12~6\);

\u6|Add12~7\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add12~7_combout\ = \u6|PCM_Data~141_combout\ & \u6|Add12~6\ & VCC # !\u6|PCM_Data~141_combout\ & !\u6|Add12~6\
-- \u6|Add12~8\ = CARRY(!\u6|PCM_Data~141_combout\ & !\u6|Add12~6\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100001100000011",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u6|PCM_Data~141_combout\,
        datad => VCC,
        cin => \u6|Add12~6\,
        combout => \u6|Add12~7_combout\,
        cout => \u6|Add12~8\);

\u6|LessThan10~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|LessThan10~0_combout\ = \u6|PCM_Data\(7) & (\u6|PCM_Data\(5) # \u6|PCM_Data\(6) # \u6|LessThan2~6_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|PCM_Data\(5),
        datab => \u6|PCM_Data\(6),
        datac => \u6|LessThan2~6_combout\,
        datad => \u6|PCM_Data\(7),
        combout => \u6|LessThan10~0_combout\);

\u6|Add11~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add11~2_combout\ = \u6|Add10~3_combout\ & \u6|Add11~1\ & VCC # !\u6|Add10~3_combout\ & !\u6|Add11~1\
-- \u6|Add11~3\ = CARRY(!\u6|Add10~3_combout\ & !\u6|Add11~1\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100001100000011",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u6|Add10~3_combout\,
        datad => VCC,
        cin => \u6|Add11~1\,
        combout => \u6|Add11~2_combout\,
        cout => \u6|Add11~3\);

\u6|Add10~20\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add10~20_combout\ = \u6|Add10~6_combout\ & (\u6|LessThan8~1_combout\ # \u6|PCM_Data\(9) & \u6|Add10~14_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000010000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|PCM_Data\(9),
        datab => \u6|Add10~14_combout\,
        datac => \u6|Add10~6_combout\,
        datad => \u6|LessThan8~1_combout\,
        combout => \u6|Add10~20_combout\);

\u6|Add11~8\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add11~8_combout\ = \u6|Add10~15_combout\ & (GND # !\u6|Add11~7\) # !\u6|Add10~15_combout\ & (\u6|Add11~7\ $ GND)
-- \u6|Add11~9\ = CARRY(\u6|Add10~15_combout\ # !\u6|Add11~7\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110011001111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u6|Add10~15_combout\,
        datad => VCC,
        cin => \u6|Add11~7\,
        combout => \u6|Add11~8_combout\,
        cout => \u6|Add11~9\);

\u6|Add11~10\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add11~10_combout\ = \u6|Add10~16_combout\ & \u6|Add11~9\ & VCC # !\u6|Add10~16_combout\ & !\u6|Add11~9\
-- \u6|Add11~11\ = CARRY(!\u6|Add10~16_combout\ & !\u6|Add11~9\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100001100000011",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u6|Add10~16_combout\,
        datad => VCC,
        cin => \u6|Add11~9\,
        combout => \u6|Add11~10_combout\,
        cout => \u6|Add11~11\);

\u6|LessThan10~1\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|LessThan10~1_combout\ = \u6|Add11~14_combout\ # \u6|Add11~2_combout\ # \u6|Add11~10_combout\ # \u6|Add11~8_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111111110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Add11~14_combout\,
        datab => \u6|Add11~2_combout\,
        datac => \u6|Add11~10_combout\,
        datad => \u6|Add11~8_combout\,
        combout => \u6|LessThan10~1_combout\);

\u6|LessThan10~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|LessThan10~2_combout\ = \u6|LessThan10~0_combout\ # \u6|LessThan9~2_combout\ & (\u6|Add11~12_combout\ # \u6|LessThan10~1_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111011001100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Add11~12_combout\,
        datab => \u6|LessThan10~0_combout\,
        datac => \u6|LessThan10~1_combout\,
        datad => \u6|LessThan9~2_combout\,
        combout => \u6|LessThan10~2_combout\);

\u6|LessThan10~3\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|LessThan10~3_combout\ = \u6|PCM_Data~142_combout\ # \u6|PCM_Data~140_combout\ # \u6|PCM_Data~141_combout\ # \u6|LessThan10~2_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111111110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|PCM_Data~142_combout\,
        datab => \u6|PCM_Data~140_combout\,
        datac => \u6|PCM_Data~141_combout\,
        datad => \u6|LessThan10~2_combout\,
        combout => \u6|LessThan10~3_combout\);

\u6|Add12~21\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add12~21_combout\ = \u6|Add12~7_combout\ & \u6|LessThan10~3_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Add12~7_combout\,
        datad => \u6|LessThan10~3_combout\,
        combout => \u6|Add12~21_combout\);

\u6|Add12~20\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add12~20_combout\ = \u6|LessThan10~3_combout\ & \u6|Add12~5_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|LessThan10~3_combout\,
        datad => \u6|Add12~5_combout\,
        combout => \u6|Add12~20_combout\);

\u6|Add12~26\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add12~26_combout\ = \u6|LessThan10~3_combout\ & \u6|Add12~3_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|LessThan10~3_combout\,
        datad => \u6|Add12~3_combout\,
        combout => \u6|Add12~26_combout\);

\u6|Add12~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add12~2_combout\ = \u6|LessThan10~3_combout\ & \u6|Add12~0_combout\ # !\u6|LessThan10~3_combout\ & (\u6|PCM_Data\(7))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100110011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u6|Add12~0_combout\,
        datac => \u6|PCM_Data\(7),
        datad => \u6|LessThan10~3_combout\,
        combout => \u6|Add12~2_combout\);

\u6|Add13~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add13~2_combout\ = \u6|Add12~2_combout\ & \u6|Add13~1\ & VCC # !\u6|Add12~2_combout\ & !\u6|Add13~1\
-- \u6|Add13~3\ = CARRY(!\u6|Add12~2_combout\ & !\u6|Add13~1\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100001100000011",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u6|Add12~2_combout\,
        datad => VCC,
        cin => \u6|Add13~1\,
        combout => \u6|Add13~2_combout\,
        cout => \u6|Add13~3\);

\u6|Add13~4\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add13~4_combout\ = \u6|Add12~26_combout\ & (GND # !\u6|Add13~3\) # !\u6|Add12~26_combout\ & (\u6|Add13~3\ $ GND)
-- \u6|Add13~5\ = CARRY(\u6|Add12~26_combout\ # !\u6|Add13~3\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110011001111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u6|Add12~26_combout\,
        datad => VCC,
        cin => \u6|Add13~3\,
        combout => \u6|Add13~4_combout\,
        cout => \u6|Add13~5\);

\u6|Add13~8\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add13~8_combout\ = \u6|Add12~21_combout\ & (GND # !\u6|Add13~7\) # !\u6|Add12~21_combout\ & (\u6|Add13~7\ $ GND)
-- \u6|Add13~9\ = CARRY(\u6|Add12~21_combout\ # !\u6|Add13~7\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110011001111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u6|Add12~21_combout\,
        datad => VCC,
        cin => \u6|Add13~7\,
        combout => \u6|Add13~8_combout\,
        cout => \u6|Add13~9\);

\u6|Add13~10\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add13~10_combout\ = \u6|Add12~18_combout\ & \u6|Add13~9\ & VCC # !\u6|Add12~18_combout\ & !\u6|Add13~9\
-- \u6|Add13~11\ = CARRY(!\u6|Add12~18_combout\ & !\u6|Add13~9\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010010100000101",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Add12~18_combout\,
        datad => VCC,
        cin => \u6|Add13~9\,
        combout => \u6|Add13~10_combout\,
        cout => \u6|Add13~11\);

\u6|PCM_Data~149\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|PCM_Data~149_combout\ = \u6|PCM_Data~147_combout\ & \u6|Add13~10_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|PCM_Data~147_combout\,
        datad => \u6|Add13~10_combout\,
        combout => \u6|PCM_Data~149_combout\);

\u6|PCM_Data~152\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|PCM_Data~152_combout\ = \u6|PCM_Data~147_combout\ & \u6|Add13~8_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|PCM_Data~147_combout\,
        datad => \u6|Add13~8_combout\,
        combout => \u6|PCM_Data~152_combout\);

\u6|PCM_Data~153\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|PCM_Data~153_combout\ = \u6|PCM_Data~147_combout\ & \u6|Add13~4_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100110000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u6|PCM_Data~147_combout\,
        datad => \u6|Add13~4_combout\,
        combout => \u6|PCM_Data~153_combout\);

\u6|PCM_Data~151\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|PCM_Data~151_combout\ = \u6|PCM_Data~147_combout\ & \u6|Add13~2_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|PCM_Data~147_combout\,
        datad => \u6|Add13~2_combout\,
        combout => \u6|PCM_Data~151_combout\);

\u6|Add14~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add14~0_combout\ = \u6|PCM_Data\(5) $ VCC
-- \u6|Add14~1\ = CARRY(\u6|PCM_Data\(5))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0101010110101010",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|PCM_Data\(5),
        datad => VCC,
        combout => \u6|Add14~0_combout\,
        cout => \u6|Add14~1\);

\u6|Add14~5\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add14~5_combout\ = \u6|PCM_Data~151_combout\ & (GND # !\u6|Add14~4\) # !\u6|PCM_Data~151_combout\ & (\u6|Add14~4\ $ GND)
-- \u6|Add14~6\ = CARRY(\u6|PCM_Data~151_combout\ # !\u6|Add14~4\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110011001111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u6|PCM_Data~151_combout\,
        datad => VCC,
        cin => \u6|Add14~4\,
        combout => \u6|Add14~5_combout\,
        cout => \u6|Add14~6\);

\u6|Add14~7\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add14~7_combout\ = \u6|PCM_Data~153_combout\ & \u6|Add14~6\ & VCC # !\u6|PCM_Data~153_combout\ & !\u6|Add14~6\
-- \u6|Add14~8\ = CARRY(!\u6|PCM_Data~153_combout\ & !\u6|Add14~6\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100001100000011",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u6|PCM_Data~153_combout\,
        datad => VCC,
        cin => \u6|Add14~6\,
        combout => \u6|Add14~7_combout\,
        cout => \u6|Add14~8\);

\u6|Add14~9\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add14~9_combout\ = \u6|PCM_Data~150_combout\ & (GND # !\u6|Add14~8\) # !\u6|PCM_Data~150_combout\ & (\u6|Add14~8\ $ GND)
-- \u6|Add14~10\ = CARRY(\u6|PCM_Data~150_combout\ # !\u6|Add14~8\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0101101010101111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|PCM_Data~150_combout\,
        datad => VCC,
        cin => \u6|Add14~8\,
        combout => \u6|Add14~9_combout\,
        cout => \u6|Add14~10\);

\u6|Add14~11\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add14~11_combout\ = \u6|PCM_Data~152_combout\ & \u6|Add14~10\ & VCC # !\u6|PCM_Data~152_combout\ & !\u6|Add14~10\
-- \u6|Add14~12\ = CARRY(!\u6|PCM_Data~152_combout\ & !\u6|Add14~10\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100001100000011",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u6|PCM_Data~152_combout\,
        datad => VCC,
        cin => \u6|Add14~10\,
        combout => \u6|Add14~11_combout\,
        cout => \u6|Add14~12\);

\u6|Add14~13\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add14~13_combout\ = \u6|PCM_Data~149_combout\ & (GND # !\u6|Add14~12\) # !\u6|PCM_Data~149_combout\ & (\u6|Add14~12\ $ GND)
-- \u6|Add14~14\ = CARRY(\u6|PCM_Data~149_combout\ # !\u6|Add14~12\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110011001111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u6|PCM_Data~149_combout\,
        datad => VCC,
        cin => \u6|Add14~12\,
        combout => \u6|Add14~13_combout\,
        cout => \u6|Add14~14\);

\u6|Add14~22\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add14~22_combout\ = \u6|Add14~13_combout\ & \u6|LessThan12~3_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Add14~13_combout\,
        datad => \u6|LessThan12~3_combout\,
        combout => \u6|Add14~22_combout\);

\u6|Add14~27\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add14~27_combout\ = \u6|Add14~11_combout\ & \u6|LessThan12~3_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Add14~11_combout\,
        datad => \u6|LessThan12~3_combout\,
        combout => \u6|Add14~27_combout\);

\u6|Add14~26\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add14~26_combout\ = \u6|Add14~9_combout\ & \u6|LessThan12~3_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Add14~9_combout\,
        datad => \u6|LessThan12~3_combout\,
        combout => \u6|Add14~26_combout\);

\u6|Add14~24\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add14~24_combout\ = \u6|LessThan12~3_combout\ & \u6|Add14~7_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100110000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u6|LessThan12~3_combout\,
        datad => \u6|Add14~7_combout\,
        combout => \u6|Add14~24_combout\);

\u6|Add14~23\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add14~23_combout\ = \u6|LessThan12~3_combout\ & \u6|Add14~5_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100110000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u6|LessThan12~3_combout\,
        datad => \u6|Add14~5_combout\,
        combout => \u6|Add14~23_combout\);

\u6|Add14~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add14~2_combout\ = \u6|LessThan12~3_combout\ & (\u6|Add14~0_combout\) # !\u6|LessThan12~3_combout\ & \u6|PCM_Data\(5)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000010101010",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|PCM_Data\(5),
        datac => \u6|Add14~0_combout\,
        datad => \u6|LessThan12~3_combout\,
        combout => \u6|Add14~2_combout\);

\u6|Add15~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add15~2_combout\ = \u6|Add14~2_combout\ & \u6|Add15~1\ & VCC # !\u6|Add14~2_combout\ & !\u6|Add15~1\
-- \u6|Add15~3\ = CARRY(!\u6|Add14~2_combout\ & !\u6|Add15~1\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100001100000011",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u6|Add14~2_combout\,
        datad => VCC,
        cin => \u6|Add15~1\,
        combout => \u6|Add15~2_combout\,
        cout => \u6|Add15~3\);

\u6|Add15~4\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add15~4_combout\ = \u6|Add14~25_combout\ & (GND # !\u6|Add15~3\) # !\u6|Add14~25_combout\ & (\u6|Add15~3\ $ GND)
-- \u6|Add15~5\ = CARRY(\u6|Add14~25_combout\ # !\u6|Add15~3\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0101101010101111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Add14~25_combout\,
        datad => VCC,
        cin => \u6|Add15~3\,
        combout => \u6|Add15~4_combout\,
        cout => \u6|Add15~5\);

\u6|Add15~8\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add15~8_combout\ = \u6|Add14~24_combout\ & (GND # !\u6|Add15~7\) # !\u6|Add14~24_combout\ & (\u6|Add15~7\ $ GND)
-- \u6|Add15~9\ = CARRY(\u6|Add14~24_combout\ # !\u6|Add15~7\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110011001111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u6|Add14~24_combout\,
        datad => VCC,
        cin => \u6|Add15~7\,
        combout => \u6|Add15~8_combout\,
        cout => \u6|Add15~9\);

\u6|Add15~14\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add15~14_combout\ = \u6|Add14~22_combout\ & \u6|Add15~13\ & VCC # !\u6|Add14~22_combout\ & !\u6|Add15~13\
-- \u6|Add15~15\ = CARRY(!\u6|Add14~22_combout\ & !\u6|Add15~13\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100001100000011",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u6|Add14~22_combout\,
        datad => VCC,
        cin => \u6|Add15~13\,
        combout => \u6|Add15~14_combout\,
        cout => \u6|Add15~15\);

\u6|PCM_Data~159\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|PCM_Data~159_combout\ = \u6|LessThan13~3_combout\ & \u6|Add15~14_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|LessThan13~3_combout\,
        datad => \u6|Add15~14_combout\,
        combout => \u6|PCM_Data~159_combout\);

\u6|PCM_Data~158\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|PCM_Data~158_combout\ = \u6|Add15~12_combout\ & \u6|LessThan13~3_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010000010100000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Add15~12_combout\,
        datac => \u6|LessThan13~3_combout\,
        combout => \u6|PCM_Data~158_combout\);

\u6|PCM_Data~157\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|PCM_Data~157_combout\ = \u6|Add15~8_combout\ & \u6|LessThan13~3_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Add15~8_combout\,
        datad => \u6|LessThan13~3_combout\,
        combout => \u6|PCM_Data~157_combout\);

\u6|Add16~7\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add16~7_combout\ = \u6|PCM_Data~155_combout\ & \u6|Add16~6\ & VCC # !\u6|PCM_Data~155_combout\ & !\u6|Add16~6\
-- \u6|Add16~8\ = CARRY(!\u6|PCM_Data~155_combout\ & !\u6|Add16~6\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010010100000101",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|PCM_Data~155_combout\,
        datad => VCC,
        cin => \u6|Add16~6\,
        combout => \u6|Add16~7_combout\,
        cout => \u6|Add16~8\);

\u6|Add16~9\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add16~9_combout\ = \u6|PCM_Data~156_combout\ & (GND # !\u6|Add16~8\) # !\u6|PCM_Data~156_combout\ & (\u6|Add16~8\ $ GND)
-- \u6|Add16~10\ = CARRY(\u6|PCM_Data~156_combout\ # !\u6|Add16~8\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0101101010101111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|PCM_Data~156_combout\,
        datad => VCC,
        cin => \u6|Add16~8\,
        combout => \u6|Add16~9_combout\,
        cout => \u6|Add16~10\);

\u6|Add16~11\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add16~11_combout\ = \u6|PCM_Data~157_combout\ & \u6|Add16~10\ & VCC # !\u6|PCM_Data~157_combout\ & !\u6|Add16~10\
-- \u6|Add16~12\ = CARRY(!\u6|PCM_Data~157_combout\ & !\u6|Add16~10\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100001100000011",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u6|PCM_Data~157_combout\,
        datad => VCC,
        cin => \u6|Add16~10\,
        combout => \u6|Add16~11_combout\,
        cout => \u6|Add16~12\);

\u6|Add16~13\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add16~13_combout\ = \u6|PCM_Data~161_combout\ & (GND # !\u6|Add16~12\) # !\u6|PCM_Data~161_combout\ & (\u6|Add16~12\ $ GND)
-- \u6|Add16~14\ = CARRY(\u6|PCM_Data~161_combout\ # !\u6|Add16~12\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0101101010101111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|PCM_Data~161_combout\,
        datad => VCC,
        cin => \u6|Add16~12\,
        combout => \u6|Add16~13_combout\,
        cout => \u6|Add16~14\);

\u6|Add16~15\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add16~15_combout\ = \u6|PCM_Data~158_combout\ & \u6|Add16~14\ & VCC # !\u6|PCM_Data~158_combout\ & !\u6|Add16~14\
-- \u6|Add16~16\ = CARRY(!\u6|PCM_Data~158_combout\ & !\u6|Add16~14\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100001100000011",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u6|PCM_Data~158_combout\,
        datad => VCC,
        cin => \u6|Add16~14\,
        combout => \u6|Add16~15_combout\,
        cout => \u6|Add16~16\);

\u6|Add16~17\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add16~17_combout\ = \u6|PCM_Data~159_combout\ & (GND # !\u6|Add16~16\) # !\u6|PCM_Data~159_combout\ & (\u6|Add16~16\ $ GND)
-- \u6|Add16~18\ = CARRY(\u6|PCM_Data~159_combout\ # !\u6|Add16~16\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110011001111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u6|PCM_Data~159_combout\,
        datad => VCC,
        cin => \u6|Add16~16\,
        combout => \u6|Add16~17_combout\,
        cout => \u6|Add16~18\);

\u6|Add16~35\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add16~35_combout\ = \u6|Add16~17_combout\ & \u6|LessThan14~4_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Add16~17_combout\,
        datad => \u6|LessThan14~4_combout\,
        combout => \u6|Add16~35_combout\);

\u6|Add16~36\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add16~36_combout\ = \u6|Add16~11_combout\ & \u6|LessThan14~4_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Add16~11_combout\,
        datad => \u6|LessThan14~4_combout\,
        combout => \u6|Add16~36_combout\);

\u6|Add16~37\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add16~37_combout\ = \u6|Add16~9_combout\ & \u6|LessThan14~4_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Add16~9_combout\,
        datad => \u6|LessThan14~4_combout\,
        combout => \u6|Add16~37_combout\);

\u6|Add16~30\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add16~30_combout\ = \u6|Add16~7_combout\ & \u6|LessThan14~4_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Add16~7_combout\,
        datad => \u6|LessThan14~4_combout\,
        combout => \u6|Add16~30_combout\);

\u6|Add17~8\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add17~8_combout\ = \u6|Add16~30_combout\ & (GND # !\u6|Add17~7\) # !\u6|Add16~30_combout\ & (\u6|Add17~7\ $ GND)
-- \u6|Add17~9\ = CARRY(\u6|Add16~30_combout\ # !\u6|Add17~7\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110011001111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u6|Add16~30_combout\,
        datad => VCC,
        cin => \u6|Add17~7\,
        combout => \u6|Add17~8_combout\,
        cout => \u6|Add17~9\);

\u6|Add17~10\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add17~10_combout\ = \u6|Add16~37_combout\ & \u6|Add17~9\ & VCC # !\u6|Add16~37_combout\ & !\u6|Add17~9\
-- \u6|Add17~11\ = CARRY(!\u6|Add16~37_combout\ & !\u6|Add17~9\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100001100000011",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u6|Add16~37_combout\,
        datad => VCC,
        cin => \u6|Add17~9\,
        combout => \u6|Add17~10_combout\,
        cout => \u6|Add17~11\);

\u6|Add17~12\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add17~12_combout\ = \u6|Add16~36_combout\ & (GND # !\u6|Add17~11\) # !\u6|Add16~36_combout\ & (\u6|Add17~11\ $ GND)
-- \u6|Add17~13\ = CARRY(\u6|Add16~36_combout\ # !\u6|Add17~11\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110011001111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u6|Add16~36_combout\,
        datad => VCC,
        cin => \u6|Add17~11\,
        combout => \u6|Add17~12_combout\,
        cout => \u6|Add17~13\);

\u6|Add17~16\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add17~16_combout\ = \u6|Add16~33_combout\ & (GND # !\u6|Add17~15\) # !\u6|Add16~33_combout\ & (\u6|Add17~15\ $ GND)
-- \u6|Add17~17\ = CARRY(\u6|Add16~33_combout\ # !\u6|Add17~15\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110011001111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u6|Add16~33_combout\,
        datad => VCC,
        cin => \u6|Add17~15\,
        combout => \u6|Add17~16_combout\,
        cout => \u6|Add17~17\);

\u6|Add17~18\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add17~18_combout\ = \u6|Add16~35_combout\ & \u6|Add17~17\ & VCC # !\u6|Add16~35_combout\ & !\u6|Add17~17\
-- \u6|Add17~19\ = CARRY(!\u6|Add16~35_combout\ & !\u6|Add17~17\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100001100000011",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u6|Add16~35_combout\,
        datad => VCC,
        cin => \u6|Add17~17\,
        combout => \u6|Add17~18_combout\,
        cout => \u6|Add17~19\);

\u6|Add17~20\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add17~20_combout\ = \u6|Add16~34_combout\ & (GND # !\u6|Add17~19\) # !\u6|Add16~34_combout\ & (\u6|Add17~19\ $ GND)
-- \u6|Add17~21\ = CARRY(\u6|Add16~34_combout\ # !\u6|Add17~19\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0101101010101111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Add16~34_combout\,
        datad => VCC,
        cin => \u6|Add17~19\,
        combout => \u6|Add17~20_combout\,
        cout => \u6|Add17~21\);

\u6|PCM_Data~169\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|PCM_Data~169_combout\ = \u6|Add17~18_combout\ & \u6|LessThan15~5_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Add17~18_combout\,
        datad => \u6|LessThan15~5_combout\,
        combout => \u6|PCM_Data~169_combout\);

\u6|PCM_Data~163\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|PCM_Data~163_combout\ = \u6|LessThan15~5_combout\ & \u6|Add17~16_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|LessThan15~5_combout\,
        datad => \u6|Add17~16_combout\,
        combout => \u6|PCM_Data~163_combout\);

\u6|Add18~18\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add18~18_combout\ = \u6|PCM_Data~168_combout\ & (GND # !\u6|Add18~17\) # !\u6|PCM_Data~168_combout\ & (\u6|Add18~17\ $ GND)
-- \u6|Add18~19\ = CARRY(\u6|PCM_Data~168_combout\ # !\u6|Add18~17\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0101101010101111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|PCM_Data~168_combout\,
        datad => VCC,
        cin => \u6|Add18~17\,
        combout => \u6|Add18~18_combout\,
        cout => \u6|Add18~19\);

\u6|Add18~24\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add18~24_combout\ = \u6|LessThan15~5_combout\ & (\u6|Add17~20_combout\ & \u6|Add18~23\ & VCC # !\u6|Add17~20_combout\ & !\u6|Add18~23\) # !\u6|LessThan15~5_combout\ & (!\u6|Add18~23\)
-- \u6|Add18~25\ = CARRY(!\u6|Add18~23\ & (!\u6|Add17~20_combout\ # !\u6|LessThan15~5_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1000011100000111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|LessThan15~5_combout\,
        datab => \u6|Add17~20_combout\,
        datad => VCC,
        cin => \u6|Add18~23\,
        combout => \u6|Add18~24_combout\,
        cout => \u6|Add18~25\);

\u6|Add18~41\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add18~41_combout\ = \u6|LessThan16~5_combout\ & \u6|Add18~24_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|LessThan16~5_combout\,
        datad => \u6|Add18~24_combout\,
        combout => \u6|Add18~41_combout\);

\u6|Add19~22\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add19~22_combout\ = \u6|Add18~44_combout\ & \u6|Add19~21\ & VCC # !\u6|Add18~44_combout\ & !\u6|Add19~21\
-- \u6|Add19~23\ = CARRY(!\u6|Add18~44_combout\ & !\u6|Add19~21\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010010100000101",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Add18~44_combout\,
        datad => VCC,
        cin => \u6|Add19~21\,
        combout => \u6|Add19~22_combout\,
        cout => \u6|Add19~23\);

\u6|Add19~24\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add19~24_combout\ = \u6|Add18~41_combout\ & (GND # !\u6|Add19~23\) # !\u6|Add18~41_combout\ & (\u6|Add19~23\ $ GND)
-- \u6|Add19~25\ = CARRY(\u6|Add18~41_combout\ # !\u6|Add19~23\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110011001111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u6|Add18~41_combout\,
        datad => VCC,
        cin => \u6|Add19~23\,
        combout => \u6|Add19~24_combout\,
        cout => \u6|Add19~25\);

\u6|Add19~26\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add19~26_combout\ = \u6|Add18~38_combout\ & \u6|Add19~25\ & VCC # !\u6|Add18~38_combout\ & !\u6|Add19~25\
-- \u6|Add19~27\ = CARRY(!\u6|Add18~38_combout\ & !\u6|Add19~25\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010010100000101",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Add18~38_combout\,
        datad => VCC,
        cin => \u6|Add19~25\,
        combout => \u6|Add19~26_combout\,
        cout => \u6|Add19~27\);

\u6|Mux47~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Mux47~0_combout\ = \u6|LessThan17~4_combout\ & \u6|Add19~26_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100110000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u6|LessThan17~4_combout\,
        datad => \u6|Add19~26_combout\,
        combout => \u6|Mux47~0_combout\);

\u6|PCM_Data_Difference[13]~12\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|PCM_Data_Difference[13]~12_combout\ = !\u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111100001111",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\,
        combout => \u6|PCM_Data_Difference[13]~12_combout\);

\u6|PCM_Data_Difference[13]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u6|PCM_Data_Difference[13]~12_combout\,
        ena => \u5|PCM_Data_Difference[0]~1_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u6|PCM_Data_Difference\(13));

\u6|Add2~42\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add2~42_combout\ = \ADPCM_DECODER_DATA_RIGHT~regout\ $ \u6|PCM_Data_Difference\(13)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0101101001011010",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \ADPCM_DECODER_DATA_RIGHT~regout\,
        datac => \u6|PCM_Data_Difference\(13),
        combout => \u6|Add2~42_combout\);

\u6|PCM_Data_Difference[12]~11\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|PCM_Data_Difference[12]~11_combout\ = !\u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111100001111",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\,
        combout => \u6|PCM_Data_Difference[12]~11_combout\);

\u6|PCM_Data_Difference[12]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u6|PCM_Data_Difference[12]~11_combout\,
        ena => \u5|PCM_Data_Difference[0]~1_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u6|PCM_Data_Difference\(12));

\u6|Add2~39\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add2~39_combout\ = \ADPCM_DECODER_DATA_RIGHT~regout\ $ \u6|PCM_Data_Difference\(12)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110000111100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \ADPCM_DECODER_DATA_RIGHT~regout\,
        datac => \u6|PCM_Data_Difference\(12),
        combout => \u6|Add2~39_combout\);

\u6|Add2~37\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add2~37_combout\ = (\u6|Add2~36_combout\ $ \u6|Last_PCM_Data\(11) $ !\u6|Add2~35\) # GND
-- \u6|Add2~38\ = CARRY(\u6|Add2~36_combout\ & (\u6|Last_PCM_Data\(11) # !\u6|Add2~35\) # !\u6|Add2~36_combout\ & \u6|Last_PCM_Data\(11) & !\u6|Add2~35\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0110100110001110",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Add2~36_combout\,
        datab => \u6|Last_PCM_Data\(11),
        datad => VCC,
        cin => \u6|Add2~35\,
        combout => \u6|Add2~37_combout\,
        cout => \u6|Add2~38\);

\u6|Last_PCM_Data[11]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u6|Add2~37_combout\,
        ena => \u5|Last_PCM_Data[15]~17_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u6|Last_PCM_Data\(11));

\u6|Add2~40\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add2~40_combout\ = \u6|Last_PCM_Data\(12) & (\u6|Add2~39_combout\ & \u6|Add2~38\ & VCC # !\u6|Add2~39_combout\ & !\u6|Add2~38\) # !\u6|Last_PCM_Data\(12) & (\u6|Add2~39_combout\ & !\u6|Add2~38\ # !\u6|Add2~39_combout\ & (\u6|Add2~38\ # GND))
-- \u6|Add2~41\ = CARRY(\u6|Last_PCM_Data\(12) & !\u6|Add2~39_combout\ & !\u6|Add2~38\ # !\u6|Last_PCM_Data\(12) & (!\u6|Add2~38\ # !\u6|Add2~39_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1001011000010111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Last_PCM_Data\(12),
        datab => \u6|Add2~39_combout\,
        datad => VCC,
        cin => \u6|Add2~38\,
        combout => \u6|Add2~40_combout\,
        cout => \u6|Add2~41\);

\u6|Add2~43\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add2~43_combout\ = (\u6|Last_PCM_Data\(13) $ \u6|Add2~42_combout\ $ !\u6|Add2~41\) # GND
-- \u6|Add2~44\ = CARRY(\u6|Last_PCM_Data\(13) & (\u6|Add2~42_combout\ # !\u6|Add2~41\) # !\u6|Last_PCM_Data\(13) & \u6|Add2~42_combout\ & !\u6|Add2~41\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0110100110001110",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Last_PCM_Data\(13),
        datab => \u6|Add2~42_combout\,
        datad => VCC,
        cin => \u6|Add2~41\,
        combout => \u6|Add2~43_combout\,
        cout => \u6|Add2~44\);

\u6|Last_PCM_Data[13]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u6|Add2~43_combout\,
        ena => \u5|Last_PCM_Data[15]~17_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u6|Last_PCM_Data\(13));

\u6|PCM_Data[13]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u6|Mux47~0_combout\,
        sdata => \u6|Last_PCM_Data\(13),
        sload => \u6|ADPCM_Decoder_State_Counter\(0),
        ena => \u5|PCM_Data[15]~182_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u6|PCM_Data\(13));

\u6|Add19~28\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add19~28_combout\ = \u6|Add18~34_combout\ & (GND # !\u6|Add19~27\) # !\u6|Add18~34_combout\ & (\u6|Add19~27\ $ GND)
-- \u6|Add19~29\ = CARRY(\u6|Add18~34_combout\ # !\u6|Add19~27\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0101101010101111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Add18~34_combout\,
        datad => VCC,
        cin => \u6|Add19~27\,
        combout => \u6|Add19~28_combout\,
        cout => \u6|Add19~29\);

\u6|Mux46~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Mux46~0_combout\ = \u6|LessThan17~4_combout\ & \u6|Add19~28_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100110000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u6|LessThan17~4_combout\,
        datad => \u6|Add19~28_combout\,
        combout => \u6|Mux46~0_combout\);

\u6|Add2~45\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add2~45_combout\ = \u6|Last_PCM_Data\(14) & (\ADPCM_DECODER_DATA_RIGHT~regout\ & \u6|Add2~44\ & VCC # !\ADPCM_DECODER_DATA_RIGHT~regout\ & !\u6|Add2~44\) # !\u6|Last_PCM_Data\(14) & (\ADPCM_DECODER_DATA_RIGHT~regout\ & !\u6|Add2~44\ # 
-- !\ADPCM_DECODER_DATA_RIGHT~regout\ & (\u6|Add2~44\ # GND))
-- \u6|Add2~46\ = CARRY(\u6|Last_PCM_Data\(14) & !\ADPCM_DECODER_DATA_RIGHT~regout\ & !\u6|Add2~44\ # !\u6|Last_PCM_Data\(14) & (!\u6|Add2~44\ # !\ADPCM_DECODER_DATA_RIGHT~regout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1001011000010111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Last_PCM_Data\(14),
        datab => \ADPCM_DECODER_DATA_RIGHT~regout\,
        datad => VCC,
        cin => \u6|Add2~44\,
        combout => \u6|Add2~45_combout\,
        cout => \u6|Add2~46\);

\u6|Last_PCM_Data[14]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u6|Add2~45_combout\,
        ena => \u5|Last_PCM_Data[15]~17_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u6|Last_PCM_Data\(14));

\u6|PCM_Data[14]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u6|Mux46~0_combout\,
        sdata => \u6|Last_PCM_Data\(14),
        sload => \u6|ADPCM_Decoder_State_Counter\(0),
        ena => \u5|PCM_Data[15]~182_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u6|PCM_Data\(14));

\u6|Mux48~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Mux48~0_combout\ = \u6|Add19~24_combout\ & \u6|LessThan17~4_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100110000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u6|Add19~24_combout\,
        datad => \u6|LessThan17~4_combout\,
        combout => \u6|Mux48~0_combout\);

\u6|Last_PCM_Data[12]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u6|Add2~40_combout\,
        ena => \u5|Last_PCM_Data[15]~17_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u6|Last_PCM_Data\(12));

\u6|PCM_Data[12]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u6|Mux48~0_combout\,
        sdata => \u6|Last_PCM_Data\(12),
        sload => \u6|ADPCM_Decoder_State_Counter\(0),
        ena => \u5|PCM_Data[15]~182_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u6|PCM_Data\(12));

\u6|LessThan2~4\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|LessThan2~4_combout\ = \u6|PCM_Data\(12) # \u6|PCM_Data\(11) # !\u6|LessThan2~3_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111110011111111",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u6|PCM_Data\(12),
        datac => \u6|PCM_Data\(11),
        datad => \u6|LessThan2~3_combout\,
        combout => \u6|LessThan2~4_combout\);

\u6|PCM_Data~136\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|PCM_Data~136_combout\ = !\u6|PCM_Data\(13) & !\u6|LessThan2~4_combout\ & (\u6|PCM_Data\(15) # \u6|PCM_Data\(14))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000000110010",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|PCM_Data\(15),
        datab => \u6|PCM_Data\(13),
        datac => \u6|PCM_Data\(14),
        datad => \u6|LessThan2~4_combout\,
        combout => \u6|PCM_Data~136_combout\);

\u6|PCM_Data~137\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|PCM_Data~137_combout\ = \u6|PCM_Data~136_combout\ # \u6|PCM_Data\(12) & !\u6|LessThan2~5_combout\ # !\u6|PCM_Data\(12) & \u6|LessThan2~5_combout\ & \u6|PCM_Data\(13)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111011011110010",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|PCM_Data\(12),
        datab => \u6|LessThan2~5_combout\,
        datac => \u6|PCM_Data~136_combout\,
        datad => \u6|PCM_Data\(13),
        combout => \u6|PCM_Data~137_combout\);

\u6|Add8~9\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add8~9_combout\ = \u6|Add8~8\ $ (!\u6|Add7~0_combout\ & \u6|PCM_Data~137_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010010111110000",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Add7~0_combout\,
        datad => \u6|PCM_Data~137_combout\,
        cin => \u6|Add8~8\,
        combout => \u6|Add8~9_combout\);

\u6|Add8~11\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add8~11_combout\ = \u6|LessThan6~0_combout\ & \u6|Add8~9_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|LessThan6~0_combout\,
        datad => \u6|Add8~9_combout\,
        combout => \u6|Add8~11_combout\);

\u6|LessThan7~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|LessThan7~0_combout\ = \u6|LessThan6~0_combout\ & (\u6|Add8~5_combout\ # \u6|Add8~3_combout\ # \u6|Add8~7_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010101010101000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|LessThan6~0_combout\,
        datab => \u6|Add8~5_combout\,
        datac => \u6|Add8~3_combout\,
        datad => \u6|Add8~7_combout\,
        combout => \u6|LessThan7~0_combout\);

\u6|LessThan7~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|LessThan7~2_combout\ = \u6|LessThan7~1_combout\ # \u6|Add8~2_combout\ # \u6|Add8~11_combout\ # \u6|LessThan7~0_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111111110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|LessThan7~1_combout\,
        datab => \u6|Add8~2_combout\,
        datac => \u6|Add8~11_combout\,
        datad => \u6|LessThan7~0_combout\,
        combout => \u6|LessThan7~2_combout\);

\u6|PCM_Data~138\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|PCM_Data~138_combout\ = \u6|LessThan7~2_combout\ & (\u6|Add9~0_combout\) # !\u6|LessThan7~2_combout\ & \u6|PCM_Data\(10)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000010101010",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|PCM_Data\(10),
        datac => \u6|Add9~0_combout\,
        datad => \u6|LessThan7~2_combout\,
        combout => \u6|PCM_Data~138_combout\);

\u6|LessThan8~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|LessThan8~0_combout\ = \u6|Add9~4_combout\ # \u6|Add9~6_combout\ # \u6|Add9~8_combout\ # \u6|Add9~10_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111111110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Add9~4_combout\,
        datab => \u6|Add9~6_combout\,
        datac => \u6|Add9~8_combout\,
        datad => \u6|Add9~10_combout\,
        combout => \u6|LessThan8~0_combout\);

\u6|LessThan8~1\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|LessThan8~1_combout\ = \u6|PCM_Data~138_combout\ # \u6|LessThan7~2_combout\ & (\u6|Add9~2_combout\ # \u6|LessThan8~0_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111110011111000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Add9~2_combout\,
        datab => \u6|LessThan7~2_combout\,
        datac => \u6|PCM_Data~138_combout\,
        datad => \u6|LessThan8~0_combout\,
        combout => \u6|LessThan8~1_combout\);

\u6|Add10~15\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add10~15_combout\ = \u6|Add10~8_combout\ & (\u6|LessThan8~1_combout\ # \u6|PCM_Data\(9) & \u6|Add10~14_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111100000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|PCM_Data\(9),
        datab => \u6|Add10~14_combout\,
        datac => \u6|LessThan8~1_combout\,
        datad => \u6|Add10~8_combout\,
        combout => \u6|Add10~15_combout\);

\u6|PCM_Data~142\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|PCM_Data~142_combout\ = \u6|Add11~6_combout\ & \u6|LessThan9~2_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010101000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Add11~6_combout\,
        datad => \u6|LessThan9~2_combout\,
        combout => \u6|PCM_Data~142_combout\);

\u6|Add12~11\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add12~11_combout\ = \u6|LessThan9~2_combout\ & (\u6|Add11~8_combout\ & \u6|Add12~10\ & VCC # !\u6|Add11~8_combout\ & !\u6|Add12~10\) # !\u6|LessThan9~2_combout\ & (!\u6|Add12~10\)
-- \u6|Add12~12\ = CARRY(!\u6|Add12~10\ & (!\u6|Add11~8_combout\ # !\u6|LessThan9~2_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1000011100000111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|LessThan9~2_combout\,
        datab => \u6|Add11~8_combout\,
        datad => VCC,
        cin => \u6|Add12~10\,
        combout => \u6|Add12~11_combout\,
        cout => \u6|Add12~12\);

\u6|Add12~25\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add12~25_combout\ = \u6|Add12~11_combout\ & \u6|LessThan10~3_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Add12~11_combout\,
        datad => \u6|LessThan10~3_combout\,
        combout => \u6|Add12~25_combout\);

\u6|LessThan8~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|LessThan8~2_combout\ = \u6|LessThan8~1_combout\ # \u6|PCM_Data\(9) & (\u6|PCM_Data\(8) # !\u6|LessThan2~2_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111101011110010",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|PCM_Data\(9),
        datab => \u6|LessThan2~2_combout\,
        datac => \u6|LessThan8~1_combout\,
        datad => \u6|PCM_Data\(8),
        combout => \u6|LessThan8~2_combout\);

\u6|Add10~22\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add10~22_combout\ = \u6|LessThan8~2_combout\ & \u6|Add10~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|LessThan8~2_combout\,
        datad => \u6|Add10~12_combout\,
        combout => \u6|Add10~22_combout\);

\u6|Add11~12\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add11~12_combout\ = \u6|Add10~22_combout\ & (GND # !\u6|Add11~11\) # !\u6|Add10~22_combout\ & (\u6|Add11~11\ $ GND)
-- \u6|Add11~13\ = CARRY(\u6|Add10~22_combout\ # !\u6|Add11~11\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110011001111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u6|Add10~22_combout\,
        datad => VCC,
        cin => \u6|Add11~11\,
        combout => \u6|Add11~12_combout\,
        cout => \u6|Add11~13\);

\u6|Add12~13\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add12~13_combout\ = \u6|Add12~12\ & ((\u6|LessThan9~2_combout\ & \u6|Add11~10_combout\)) # !\u6|Add12~12\ & (\u6|LessThan9~2_combout\ & \u6|Add11~10_combout\ # GND)
-- \u6|Add12~14\ = CARRY(\u6|LessThan9~2_combout\ & \u6|Add11~10_combout\ # !\u6|Add12~12\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0111100010001111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|LessThan9~2_combout\,
        datab => \u6|Add11~10_combout\,
        datad => VCC,
        cin => \u6|Add12~12\,
        combout => \u6|Add12~13_combout\,
        cout => \u6|Add12~14\);

\u6|Add12~15\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add12~15_combout\ = \u6|LessThan9~2_combout\ & (\u6|Add11~12_combout\ & \u6|Add12~14\ & VCC # !\u6|Add11~12_combout\ & !\u6|Add12~14\) # !\u6|LessThan9~2_combout\ & (!\u6|Add12~14\)
-- \u6|Add12~16\ = CARRY(!\u6|Add12~14\ & (!\u6|Add11~12_combout\ # !\u6|LessThan9~2_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1000011100000111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|LessThan9~2_combout\,
        datab => \u6|Add11~12_combout\,
        datad => VCC,
        cin => \u6|Add12~14\,
        combout => \u6|Add12~15_combout\,
        cout => \u6|Add12~16\);

\u6|Add12~17\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add12~17_combout\ = \u6|Add12~15_combout\ & \u6|LessThan10~3_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Add12~15_combout\,
        datad => \u6|LessThan10~3_combout\,
        combout => \u6|Add12~17_combout\);

\u6|Add12~19\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add12~19_combout\ = \u6|Add12~13_combout\ & \u6|LessThan10~3_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Add12~13_combout\,
        datad => \u6|LessThan10~3_combout\,
        combout => \u6|Add12~19_combout\);

\u6|PCM_Data~144\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|PCM_Data~144_combout\ = \u6|Add12~24_combout\ # \u6|Add12~20_combout\ # \u6|Add12~21_combout\ # \u6|Add12~19_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111111110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Add12~24_combout\,
        datab => \u6|Add12~20_combout\,
        datac => \u6|Add12~21_combout\,
        datad => \u6|Add12~19_combout\,
        combout => \u6|PCM_Data~144_combout\);

\u6|PCM_Data~145\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|PCM_Data~145_combout\ = \u6|Add12~18_combout\ # \u6|Add12~2_combout\ # \u6|Add12~17_combout\ # \u6|PCM_Data~144_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111111110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Add12~18_combout\,
        datab => \u6|Add12~2_combout\,
        datac => \u6|Add12~17_combout\,
        datad => \u6|PCM_Data~144_combout\,
        combout => \u6|PCM_Data~145_combout\);

\u6|PCM_Data~147\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|PCM_Data~147_combout\ = \u6|Add12~26_combout\ # \u6|PCM_Data~146_combout\ # \u6|Add12~25_combout\ # \u6|PCM_Data~145_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111111110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Add12~26_combout\,
        datab => \u6|PCM_Data~146_combout\,
        datac => \u6|Add12~25_combout\,
        datad => \u6|PCM_Data~145_combout\,
        combout => \u6|PCM_Data~147_combout\);

\u6|PCM_Data~148\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|PCM_Data~148_combout\ = \u6|PCM_Data~147_combout\ & \u6|Add13~0_combout\ # !\u6|PCM_Data~147_combout\ & (\u6|PCM_Data\(6))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010101011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Add13~0_combout\,
        datac => \u6|PCM_Data\(6),
        datad => \u6|PCM_Data~147_combout\,
        combout => \u6|PCM_Data~148_combout\);

\u6|Add13~12\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add13~12_combout\ = \u6|Add12~25_combout\ & (GND # !\u6|Add13~11\) # !\u6|Add12~25_combout\ & (\u6|Add13~11\ $ GND)
-- \u6|Add13~13\ = CARRY(\u6|Add12~25_combout\ # !\u6|Add13~11\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110011001111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u6|Add12~25_combout\,
        datad => VCC,
        cin => \u6|Add13~11\,
        combout => \u6|Add13~12_combout\,
        cout => \u6|Add13~13\);

\u6|Add13~14\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add13~14_combout\ = \u6|Add12~19_combout\ & \u6|Add13~13\ & VCC # !\u6|Add12~19_combout\ & !\u6|Add13~13\
-- \u6|Add13~15\ = CARRY(!\u6|Add12~19_combout\ & !\u6|Add13~13\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100001100000011",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u6|Add12~19_combout\,
        datad => VCC,
        cin => \u6|Add13~13\,
        combout => \u6|Add13~14_combout\,
        cout => \u6|Add13~15\);

\u6|Add13~16\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add13~16_combout\ = \u6|Add12~17_combout\ & (GND # !\u6|Add13~15\) # !\u6|Add12~17_combout\ & (\u6|Add13~15\ $ GND)
-- \u6|Add13~17\ = CARRY(\u6|Add12~17_combout\ # !\u6|Add13~15\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0101101010101111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Add12~17_combout\,
        datad => VCC,
        cin => \u6|Add13~15\,
        combout => \u6|Add13~16_combout\,
        cout => \u6|Add13~17\);

\u6|LessThan12~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|LessThan12~0_combout\ = \u6|Add13~18_combout\ # \u6|Add13~16_combout\ # \u6|Add13~14_combout\ # \u6|Add13~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111111110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Add13~18_combout\,
        datab => \u6|Add13~16_combout\,
        datac => \u6|Add13~14_combout\,
        datad => \u6|Add13~12_combout\,
        combout => \u6|LessThan12~0_combout\);

\u6|LessThan12~1\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|LessThan12~1_combout\ = \u6|PCM_Data~148_combout\ # \u6|PCM_Data~147_combout\ & (\u6|Add13~4_combout\ # \u6|LessThan12~0_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111110011101100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Add13~4_combout\,
        datab => \u6|PCM_Data~148_combout\,
        datac => \u6|PCM_Data~147_combout\,
        datad => \u6|LessThan12~0_combout\,
        combout => \u6|LessThan12~1_combout\);

\u6|LessThan12~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|LessThan12~2_combout\ = \u6|PCM_Data~150_combout\ # \u6|PCM_Data~152_combout\ # \u6|PCM_Data~151_combout\ # \u6|PCM_Data~149_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111111110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|PCM_Data~150_combout\,
        datab => \u6|PCM_Data~152_combout\,
        datac => \u6|PCM_Data~151_combout\,
        datad => \u6|PCM_Data~149_combout\,
        combout => \u6|LessThan12~2_combout\);

\u6|LessThan12~3\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|LessThan12~3_combout\ = \u6|LessThan12~1_combout\ # \u6|LessThan12~2_combout\ # \u6|PCM_Data\(5) & \u6|LessThan2~6_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111111000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|PCM_Data\(5),
        datab => \u6|LessThan2~6_combout\,
        datac => \u6|LessThan12~1_combout\,
        datad => \u6|LessThan12~2_combout\,
        combout => \u6|LessThan12~3_combout\);

\u6|Add11~14\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add11~14_combout\ = \u6|Add11~13\ $ !\u6|Add10~19_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000001111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datad => \u6|Add10~19_combout\,
        cin => \u6|Add11~13\,
        combout => \u6|Add11~14_combout\);

\u6|Add12~22\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add12~22_combout\ = \u6|Add12~16\ $ (\u6|LessThan9~2_combout\ & \u6|Add11~14_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0101101011110000",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|LessThan9~2_combout\,
        datad => \u6|Add11~14_combout\,
        cin => \u6|Add12~16\,
        combout => \u6|Add12~22_combout\);

\u6|Add12~24\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add12~24_combout\ = \u6|LessThan10~3_combout\ & \u6|Add12~22_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|LessThan10~3_combout\,
        datad => \u6|Add12~22_combout\,
        combout => \u6|Add12~24_combout\);

\u6|Add13~18\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add13~18_combout\ = \u6|Add13~17\ $ !\u6|Add12~24_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000001111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datad => \u6|Add12~24_combout\,
        cin => \u6|Add13~17\,
        combout => \u6|Add13~18_combout\);

\u6|Add14~19\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add14~19_combout\ = \u6|Add13~16_combout\ & (\u6|PCM_Data~147_combout\ & \u6|Add14~18\ & VCC # !\u6|PCM_Data~147_combout\ & !\u6|Add14~18\) # !\u6|Add13~16_combout\ & (!\u6|Add14~18\)
-- \u6|Add14~20\ = CARRY(!\u6|Add14~18\ & (!\u6|PCM_Data~147_combout\ # !\u6|Add13~16_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1000011100000111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Add13~16_combout\,
        datab => \u6|PCM_Data~147_combout\,
        datad => VCC,
        cin => \u6|Add14~18\,
        combout => \u6|Add14~19_combout\,
        cout => \u6|Add14~20\);

\u6|Add14~28\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add14~28_combout\ = \u6|Add14~20\ $ (\u6|PCM_Data~147_combout\ & \u6|Add13~18_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0101101011110000",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|PCM_Data~147_combout\,
        datad => \u6|Add13~18_combout\,
        cin => \u6|Add14~20\,
        combout => \u6|Add14~28_combout\);

\u6|Add14~30\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add14~30_combout\ = \u6|LessThan12~3_combout\ & \u6|Add14~28_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100110000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u6|LessThan12~3_combout\,
        datad => \u6|Add14~28_combout\,
        combout => \u6|Add14~30_combout\);

\u6|Add14~21\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add14~21_combout\ = \u6|LessThan12~3_combout\ & \u6|Add14~19_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100110000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u6|LessThan12~3_combout\,
        datad => \u6|Add14~19_combout\,
        combout => \u6|Add14~21_combout\);

\u6|LessThan13~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|LessThan13~0_combout\ = \u6|Add14~25_combout\ # \u6|Add14~23_combout\ # \u6|Add14~24_combout\ # \u6|Add14~26_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111111110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Add14~25_combout\,
        datab => \u6|Add14~23_combout\,
        datac => \u6|Add14~24_combout\,
        datad => \u6|Add14~26_combout\,
        combout => \u6|LessThan13~0_combout\);

\u6|LessThan13~1\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|LessThan13~1_combout\ = \u6|Add14~2_combout\ # \u6|Add14~21_combout\ # \u6|Add14~22_combout\ # \u6|LessThan13~0_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111111110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Add14~2_combout\,
        datab => \u6|Add14~21_combout\,
        datac => \u6|Add14~22_combout\,
        datad => \u6|LessThan13~0_combout\,
        combout => \u6|LessThan13~1_combout\);

\u6|LessThan13~3\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|LessThan13~3_combout\ = \u6|LessThan13~2_combout\ # \u6|Add14~30_combout\ # \u6|Add14~27_combout\ # \u6|LessThan13~1_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111111110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|LessThan13~2_combout\,
        datab => \u6|Add14~30_combout\,
        datac => \u6|Add14~27_combout\,
        datad => \u6|LessThan13~1_combout\,
        combout => \u6|LessThan13~3_combout\);

\u6|PCM_Data~154\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|PCM_Data~154_combout\ = \u6|LessThan13~3_combout\ & \u6|Add15~0_combout\ # !\u6|LessThan13~3_combout\ & (\u6|PCM_Data\(4))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010101011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Add15~0_combout\,
        datac => \u6|PCM_Data\(4),
        datad => \u6|LessThan13~3_combout\,
        combout => \u6|PCM_Data~154_combout\);

\u6|PCM_Data~155\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|PCM_Data~155_combout\ = \u6|Add15~4_combout\ & \u6|LessThan13~3_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Add15~4_combout\,
        datad => \u6|LessThan13~3_combout\,
        combout => \u6|PCM_Data~155_combout\);

\u6|LessThan14~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|LessThan14~2_combout\ = \u6|PCM_Data~156_combout\ # \u6|PCM_Data~155_combout\ # \u6|PCM_Data~157_combout\ # \u6|PCM_Data~158_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111111110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|PCM_Data~156_combout\,
        datab => \u6|PCM_Data~155_combout\,
        datac => \u6|PCM_Data~157_combout\,
        datad => \u6|PCM_Data~158_combout\,
        combout => \u6|LessThan14~2_combout\);

\u6|PCM_Data~160\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|PCM_Data~160_combout\ = \u6|LessThan13~3_combout\ & \u6|Add15~2_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|LessThan13~3_combout\,
        datad => \u6|Add15~2_combout\,
        combout => \u6|PCM_Data~160_combout\);

\u6|LessThan14~3\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|LessThan14~3_combout\ = \u6|PCM_Data~159_combout\ # \u6|PCM_Data~160_combout\ # !\u6|LessThan2~7_combout\ & \u6|PCM_Data\(3)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111110100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|LessThan2~7_combout\,
        datab => \u6|PCM_Data\(3),
        datac => \u6|PCM_Data~159_combout\,
        datad => \u6|PCM_Data~160_combout\,
        combout => \u6|LessThan14~3_combout\);

\u6|LessThan14~4\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|LessThan14~4_combout\ = \u6|LessThan14~1_combout\ # \u6|PCM_Data~154_combout\ # \u6|LessThan14~2_combout\ # \u6|LessThan14~3_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111111110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|LessThan14~1_combout\,
        datab => \u6|PCM_Data~154_combout\,
        datac => \u6|LessThan14~2_combout\,
        datad => \u6|LessThan14~3_combout\,
        combout => \u6|LessThan14~4_combout\);

\u6|Add16~33\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add16~33_combout\ = \u6|LessThan14~4_combout\ & \u6|Add16~15_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|LessThan14~4_combout\,
        datad => \u6|Add16~15_combout\,
        combout => \u6|Add16~33_combout\);

\u6|Add16~21\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add16~21_combout\ = \u6|Add16~20\ & ((\u6|Add15~18_combout\ & \u6|LessThan13~3_combout\)) # !\u6|Add16~20\ & (\u6|Add15~18_combout\ & \u6|LessThan13~3_combout\ # GND)
-- \u6|Add16~22\ = CARRY(\u6|Add15~18_combout\ & \u6|LessThan13~3_combout\ # !\u6|Add16~20\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0111100010001111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Add15~18_combout\,
        datab => \u6|LessThan13~3_combout\,
        datad => VCC,
        cin => \u6|Add16~20\,
        combout => \u6|Add16~21_combout\,
        cout => \u6|Add16~22\);

\u6|Add16~31\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add16~31_combout\ = \u6|LessThan14~4_combout\ & \u6|Add16~21_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|LessThan14~4_combout\,
        datad => \u6|Add16~21_combout\,
        combout => \u6|Add16~31_combout\);

\u6|Add16~32\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add16~32_combout\ = \u6|Add16~13_combout\ & \u6|LessThan14~4_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Add16~13_combout\,
        datad => \u6|LessThan14~4_combout\,
        combout => \u6|Add16~32_combout\);

\u6|LessThan15~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|LessThan15~2_combout\ = \u6|Add16~34_combout\ # \u6|Add16~33_combout\ # \u6|Add16~31_combout\ # \u6|Add16~32_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111111110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Add16~34_combout\,
        datab => \u6|Add16~33_combout\,
        datac => \u6|Add16~31_combout\,
        datad => \u6|Add16~32_combout\,
        combout => \u6|LessThan15~2_combout\);

\u6|Add16~23\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add16~23_combout\ = \u6|Add15~20_combout\ & (\u6|LessThan13~3_combout\ & \u6|Add16~22\ & VCC # !\u6|LessThan13~3_combout\ & !\u6|Add16~22\) # !\u6|Add15~20_combout\ & (!\u6|Add16~22\)
-- \u6|Add16~24\ = CARRY(!\u6|Add16~22\ & (!\u6|LessThan13~3_combout\ # !\u6|Add15~20_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1000011100000111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Add15~20_combout\,
        datab => \u6|LessThan13~3_combout\,
        datad => VCC,
        cin => \u6|Add16~22\,
        combout => \u6|Add16~23_combout\,
        cout => \u6|Add16~24\);

\u6|Add16~28\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add16~28_combout\ = \u6|Add16~23_combout\ & \u6|LessThan14~4_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Add16~23_combout\,
        datad => \u6|LessThan14~4_combout\,
        combout => \u6|Add16~28_combout\);

\u6|LessThan15~1\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|LessThan15~1_combout\ = \u6|Add16~29_combout\ # \u6|Add16~27_combout\ # \u6|Add16~30_combout\ # \u6|Add16~28_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111111110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Add16~29_combout\,
        datab => \u6|Add16~27_combout\,
        datac => \u6|Add16~30_combout\,
        datad => \u6|Add16~28_combout\,
        combout => \u6|LessThan15~1_combout\);

\u6|Add15~22\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add15~22_combout\ = \u6|Add15~21\ $ !\u6|Add14~30_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000001111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datad => \u6|Add14~30_combout\,
        cin => \u6|Add15~21\,
        combout => \u6|Add15~22_combout\);

\u6|Add16~25\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add16~25_combout\ = \u6|Add16~24\ $ (\u6|LessThan13~3_combout\ & \u6|Add15~22_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110011110000",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u6|LessThan13~3_combout\,
        datad => \u6|Add15~22_combout\,
        cin => \u6|Add16~24\,
        combout => \u6|Add16~25_combout\);

\u6|LessThan15~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|LessThan15~0_combout\ = \u6|Add16~2_combout\ # \u6|LessThan14~4_combout\ & (\u6|Add16~17_combout\ # \u6|Add16~25_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111110011111000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Add16~17_combout\,
        datab => \u6|LessThan14~4_combout\,
        datac => \u6|Add16~2_combout\,
        datad => \u6|Add16~25_combout\,
        combout => \u6|LessThan15~0_combout\);

\u6|LessThan15~5\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|LessThan15~5_combout\ = \u6|LessThan15~4_combout\ # \u6|LessThan15~2_combout\ # \u6|LessThan15~1_combout\ # \u6|LessThan15~0_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111111110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|LessThan15~4_combout\,
        datab => \u6|LessThan15~2_combout\,
        datac => \u6|LessThan15~1_combout\,
        datad => \u6|LessThan15~0_combout\,
        combout => \u6|LessThan15~5_combout\);

\u6|PCM_Data~167\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|PCM_Data~167_combout\ = \u6|LessThan15~5_combout\ & \u6|Add17~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|LessThan15~5_combout\,
        datad => \u6|Add17~12_combout\,
        combout => \u6|PCM_Data~167_combout\);

\u6|PCM_Data~171\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|PCM_Data~171_combout\ = \u6|LessThan15~5_combout\ & \u6|Add17~8_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100110000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u6|LessThan15~5_combout\,
        datad => \u6|Add17~8_combout\,
        combout => \u6|PCM_Data~171_combout\);

\u6|Add18~16\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add18~16_combout\ = \u6|PCM_Data~167_combout\ & \u6|Add18~15\ & VCC # !\u6|PCM_Data~167_combout\ & !\u6|Add18~15\
-- \u6|Add18~17\ = CARRY(!\u6|PCM_Data~167_combout\ & !\u6|Add18~15\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100001100000011",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u6|PCM_Data~167_combout\,
        datad => VCC,
        cin => \u6|Add18~15\,
        combout => \u6|Add18~16_combout\,
        cout => \u6|Add18~17\);

\u6|Add18~43\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add18~43_combout\ = \u6|LessThan16~5_combout\ & \u6|Add18~18_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|LessThan16~5_combout\,
        datad => \u6|Add18~18_combout\,
        combout => \u6|Add18~43_combout\);

\u6|LessThan17~3\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|LessThan17~3_combout\ = \u6|Add18~40_combout\ # \u6|Add18~43_combout\ # \u6|Add18~41_combout\ # \u6|Add18~42_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111111110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Add18~40_combout\,
        datab => \u6|Add18~43_combout\,
        datac => \u6|Add18~41_combout\,
        datad => \u6|Add18~42_combout\,
        combout => \u6|LessThan17~3_combout\);

\u6|Add17~22\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add17~22_combout\ = \u6|Add16~31_combout\ & \u6|Add17~21\ & VCC # !\u6|Add16~31_combout\ & !\u6|Add17~21\
-- \u6|Add17~23\ = CARRY(!\u6|Add16~31_combout\ & !\u6|Add17~21\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010010100000101",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Add16~31_combout\,
        datad => VCC,
        cin => \u6|Add17~21\,
        combout => \u6|Add17~22_combout\,
        cout => \u6|Add17~23\);

\u6|Add18~26\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add18~26_combout\ = \u6|Add18~25\ & ((\u6|LessThan15~5_combout\ & \u6|Add17~22_combout\)) # !\u6|Add18~25\ & (\u6|LessThan15~5_combout\ & \u6|Add17~22_combout\ # GND)
-- \u6|Add18~27\ = CARRY(\u6|LessThan15~5_combout\ & \u6|Add17~22_combout\ # !\u6|Add18~25\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0111100010001111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|LessThan15~5_combout\,
        datab => \u6|Add17~22_combout\,
        datad => VCC,
        cin => \u6|Add18~25\,
        combout => \u6|Add18~26_combout\,
        cout => \u6|Add18~27\);

\u6|Add18~38\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add18~38_combout\ = \u6|LessThan16~5_combout\ & \u6|Add18~26_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|LessThan16~5_combout\,
        datad => \u6|Add18~26_combout\,
        combout => \u6|Add18~38_combout\);

\u6|LessThan17~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|LessThan17~2_combout\ = \u6|Add18~37_combout\ # \u6|Add18~36_combout\ # \u6|Add18~38_combout\ # \u6|Add18~39_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111111110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Add18~37_combout\,
        datab => \u6|Add18~36_combout\,
        datac => \u6|Add18~38_combout\,
        datad => \u6|Add18~39_combout\,
        combout => \u6|LessThan17~2_combout\);

\u6|Add16~38\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add16~38_combout\ = \u6|LessThan14~4_combout\ & \u6|Add16~25_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|LessThan14~4_combout\,
        datad => \u6|Add16~25_combout\,
        combout => \u6|Add16~38_combout\);

\u6|Add17~24\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add17~24_combout\ = \u6|Add16~28_combout\ & (GND # !\u6|Add17~23\) # !\u6|Add16~28_combout\ & (\u6|Add17~23\ $ GND)
-- \u6|Add17~25\ = CARRY(\u6|Add16~28_combout\ # !\u6|Add17~23\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0101101010101111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Add16~28_combout\,
        datad => VCC,
        cin => \u6|Add17~23\,
        combout => \u6|Add17~24_combout\,
        cout => \u6|Add17~25\);

\u6|Add17~26\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add17~26_combout\ = \u6|Add17~25\ $ !\u6|Add16~38_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000001111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datad => \u6|Add16~38_combout\,
        cin => \u6|Add17~25\,
        combout => \u6|Add17~26_combout\);

\u6|Add18~30\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add18~30_combout\ = \u6|Add18~29\ $ (\u6|LessThan15~5_combout\ & \u6|Add17~26_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0101101011110000",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u6|LessThan15~5_combout\,
        datad => \u6|Add17~26_combout\,
        cin => \u6|Add18~29\,
        combout => \u6|Add18~30_combout\);

\u6|PCM_Data~164\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|PCM_Data~164_combout\ = \u6|Add17~2_combout\ & \u6|LessThan15~5_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Add17~2_combout\,
        datad => \u6|LessThan15~5_combout\,
        combout => \u6|PCM_Data~164_combout\);

\u6|PCM_Data~165\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|PCM_Data~165_combout\ = \u6|Add17~4_combout\ & \u6|LessThan15~5_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Add17~4_combout\,
        datad => \u6|LessThan15~5_combout\,
        combout => \u6|PCM_Data~165_combout\);

\u6|LessThan16~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|LessThan16~2_combout\ = \u6|PCM_Data~166_combout\ # \u6|PCM_Data~163_combout\ # \u6|PCM_Data~164_combout\ # \u6|PCM_Data~165_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111111110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|PCM_Data~166_combout\,
        datab => \u6|PCM_Data~163_combout\,
        datac => \u6|PCM_Data~164_combout\,
        datad => \u6|PCM_Data~165_combout\,
        combout => \u6|LessThan16~2_combout\);

\u6|Mux59~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Mux59~0_combout\ = \u6|Add19~2_combout\ & \u6|LessThan17~4_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010101000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Add19~2_combout\,
        datad => \u6|LessThan17~4_combout\,
        combout => \u6|Mux59~0_combout\);

\u6|Last_PCM_Data[1]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u6|Add2~9_combout\,
        ena => \u5|Last_PCM_Data[15]~17_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u6|Last_PCM_Data\(1));

\u6|PCM_Data[1]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u6|Mux59~0_combout\,
        sdata => \u6|Last_PCM_Data\(1),
        sload => \u6|ADPCM_Decoder_State_Counter\(0),
        ena => \u5|PCM_Data[15]~182_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u6|PCM_Data\(1));

\u6|LessThan16~3\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|LessThan16~3_combout\ = \u6|LessThan15~5_combout\ & (\u6|Add17~10_combout\ # \u6|Add17~8_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000011000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u6|Add17~10_combout\,
        datac => \u6|LessThan15~5_combout\,
        datad => \u6|Add17~8_combout\,
        combout => \u6|LessThan16~3_combout\);

\u6|Add18~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add18~2_combout\ = \u6|LessThan16~4_combout\ & \u6|Add18~0_combout\ # !\u6|LessThan16~4_combout\ & (\u6|LessThan16~3_combout\ & \u6|Add18~0_combout\ # !\u6|LessThan16~3_combout\ & (\u6|PCM_Data\(1)))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010101010111000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Add18~0_combout\,
        datab => \u6|LessThan16~4_combout\,
        datac => \u6|PCM_Data\(1),
        datad => \u6|LessThan16~3_combout\,
        combout => \u6|Add18~2_combout\);

\u6|Add18~3\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add18~3_combout\ = \u6|LessThan16~1_combout\ & \u6|Add18~0_combout\ # !\u6|LessThan16~1_combout\ & (\u6|LessThan16~2_combout\ & \u6|Add18~0_combout\ # !\u6|LessThan16~2_combout\ & (\u6|Add18~2_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100110111001000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|LessThan16~1_combout\,
        datab => \u6|Add18~0_combout\,
        datac => \u6|LessThan16~2_combout\,
        datad => \u6|Add18~2_combout\,
        combout => \u6|Add18~3_combout\);

\u6|LessThan17~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|LessThan17~0_combout\ = \u6|Add18~3_combout\ # \u6|LessThan16~5_combout\ & (\u6|Add18~22_combout\ # \u6|Add18~30_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111001000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|Add18~22_combout\,
        datab => \u6|LessThan16~5_combout\,
        datac => \u6|Add18~30_combout\,
        datad => \u6|Add18~3_combout\,
        combout => \u6|LessThan17~0_combout\);

\u6|LessThan17~4\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|LessThan17~4_combout\ = \u6|LessThan17~1_combout\ # \u6|LessThan17~3_combout\ # \u6|LessThan17~2_combout\ # \u6|LessThan17~0_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111111110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|LessThan17~1_combout\,
        datab => \u6|LessThan17~3_combout\,
        datac => \u6|LessThan17~2_combout\,
        datad => \u6|LessThan17~0_combout\,
        combout => \u6|LessThan17~4_combout\);

\u6|PCM_Data[0]~175\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|PCM_Data[0]~175_combout\ = \u6|PCM_Data[0]~172_combout\ # \u6|LessThan17~4_combout\ & (\u6|PCM_Data[0]~173_combout\) # !\u6|LessThan17~4_combout\ & \u6|PCM_Data[0]~174_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111110011111010",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|PCM_Data[0]~174_combout\,
        datab => \u6|PCM_Data[0]~173_combout\,
        datac => \u6|PCM_Data[0]~172_combout\,
        datad => \u6|LessThan17~4_combout\,
        combout => \u6|PCM_Data[0]~175_combout\);

\u6|PCM_Data[0]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u6|PCM_Data[0]~175_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u6|PCM_Data\(0));

\u6|LessThan16~4\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|LessThan16~4_combout\ = \u6|PCM_Data~168_combout\ # \u6|PCM_Data~167_combout\ # \u6|PCM_Data\(0) & \u6|PCM_Data\(1)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111101010",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|PCM_Data~168_combout\,
        datab => \u6|PCM_Data\(0),
        datac => \u6|PCM_Data\(1),
        datad => \u6|PCM_Data~167_combout\,
        combout => \u6|LessThan16~4_combout\);

\u6|LessThan16~5\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|LessThan16~5_combout\ = \u6|LessThan16~1_combout\ # \u6|LessThan16~4_combout\ # \u6|LessThan16~2_combout\ # \u6|LessThan16~3_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111111110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|LessThan16~1_combout\,
        datab => \u6|LessThan16~4_combout\,
        datac => \u6|LessThan16~2_combout\,
        datad => \u6|LessThan16~3_combout\,
        combout => \u6|LessThan16~5_combout\);

\u6|Add18~42\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add18~42_combout\ = \u6|LessThan16~5_combout\ & \u6|Add18~16_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|LessThan16~5_combout\,
        datad => \u6|Add18~16_combout\,
        combout => \u6|Add18~42_combout\);

\u6|Mux49~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Mux49~0_combout\ = \u6|Add19~22_combout\ & \u6|LessThan17~4_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100110000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u6|Add19~22_combout\,
        datad => \u6|LessThan17~4_combout\,
        combout => \u6|Mux49~0_combout\);

\u6|PCM_Data[11]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u6|Mux49~0_combout\,
        sdata => \u6|Last_PCM_Data\(11),
        sload => \u6|ADPCM_Decoder_State_Counter\(0),
        ena => \u5|PCM_Data[15]~182_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u6|PCM_Data\(11));

\u6|LessThan6~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|LessThan6~0_combout\ = \u6|PCM_Data\(11) & (\u6|PCM_Data\(12) $ \u6|LessThan5~0_combout\ # !\u6|LessThan2~3_combout\) # !\u6|PCM_Data\(11) & (\u6|PCM_Data\(12) $ \u6|LessThan5~0_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0101101011011110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|PCM_Data\(12),
        datab => \u6|PCM_Data\(11),
        datac => \u6|LessThan5~0_combout\,
        datad => \u6|LessThan2~3_combout\,
        combout => \u6|LessThan6~0_combout\);

\u5|PCM_DATA_OUT[15]~5\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|PCM_DATA_OUT[15]~5_combout\ = !\u6|ADPCM_Decoder_State_Counter\(1) & \u5|process_0~0_combout\ & !\u6|ADPCM_Decoder_State_Counter\(0) & \u6|ADPCM_Decoder_State_Counter\(2)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000010000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|ADPCM_Decoder_State_Counter\(1),
        datab => \u5|process_0~0_combout\,
        datac => \u6|ADPCM_Decoder_State_Counter\(0),
        datad => \u6|ADPCM_Decoder_State_Counter\(2),
        combout => \u5|PCM_DATA_OUT[15]~5_combout\);

\u6|PCM_DATA_OUT[11]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u6|LessThan6~0_combout\,
        ena => \u5|PCM_DATA_OUT[15]~5_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u6|PCM_DATA_OUT\(11));

\u3|Add1~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u3|Add1~0_combout\ = \u3|I2S_Stream_Counter\(0) $ VCC
-- \u3|Add1~1\ = CARRY(\u3|I2S_Stream_Counter\(0))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0101010110101010",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u3|I2S_Stream_Counter\(0),
        datad => VCC,
        combout => \u3|Add1~0_combout\,
        cout => \u3|Add1~1\);

\u3|I2S_Stream_Counter[0]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \u3|ALT_INV_I2S_Clock~clkctrl_outclk\,
        datain => \u3|Add1~0_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u3|I2S_Stream_Counter\(0));

\u6|PCM_DATA_OUT[9]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u6|LessThan8~2_combout\,
        ena => \u5|PCM_DATA_OUT[15]~5_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u6|PCM_DATA_OUT\(9));

\u3|Mux0~39\ : cycloneii_lcell_comb
-- Equation(s):
-- \u3|Mux0~39_combout\ = \u3|I2S_Stream_Counter\(1) & (\u3|I2S_Stream_Counter\(0) # \u6|PCM_DATA_OUT\(9)) # !\u3|I2S_Stream_Counter\(1) & \u6|PCM_DATA_OUT\(11) & !\u3|I2S_Stream_Counter\(0)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010111010100100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u3|I2S_Stream_Counter\(1),
        datab => \u6|PCM_DATA_OUT\(11),
        datac => \u3|I2S_Stream_Counter\(0),
        datad => \u6|PCM_DATA_OUT\(9),
        combout => \u3|Mux0~39_combout\);

\u6|PCM_DATA_OUT[8]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u6|LessThan9~2_combout\,
        ena => \u5|PCM_DATA_OUT[15]~5_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u6|PCM_DATA_OUT\(8));

\u6|PCM_DATA_OUT[10]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u6|LessThan7~2_combout\,
        ena => \u5|PCM_DATA_OUT[15]~5_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u6|PCM_DATA_OUT\(10));

\u3|Mux0~40\ : cycloneii_lcell_comb
-- Equation(s):
-- \u3|Mux0~40_combout\ = \u3|I2S_Stream_Counter\(0) & (\u3|Mux0~39_combout\ & \u6|PCM_DATA_OUT\(8) # !\u3|Mux0~39_combout\ & (\u6|PCM_DATA_OUT\(10))) # !\u3|I2S_Stream_Counter\(0) & \u3|Mux0~39_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1110011011000100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u3|I2S_Stream_Counter\(0),
        datab => \u3|Mux0~39_combout\,
        datac => \u6|PCM_DATA_OUT\(8),
        datad => \u6|PCM_DATA_OUT\(10),
        combout => \u3|Mux0~40_combout\);

\u3|Equal1~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u3|Equal1~0_combout\ = !\u3|I2S_Stream_Counter\(4) & !\u3|I2S_Stream_Counter\(3) & !\u3|I2S_Stream_Counter\(1)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000100000001",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u3|I2S_Stream_Counter\(4),
        datab => \u3|I2S_Stream_Counter\(3),
        datac => \u3|I2S_Stream_Counter\(1),
        combout => \u3|Equal1~0_combout\);

\u3|Add1~6\ : cycloneii_lcell_comb
-- Equation(s):
-- \u3|Add1~6_combout\ = \u3|I2S_Stream_Counter\(3) & !\u3|Add1~5\ # !\u3|I2S_Stream_Counter\(3) & (\u3|Add1~5\ # GND)
-- \u3|Add1~7\ = CARRY(!\u3|Add1~5\ # !\u3|I2S_Stream_Counter\(3))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110000111111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u3|I2S_Stream_Counter\(3),
        datad => VCC,
        cin => \u3|Add1~5\,
        combout => \u3|Add1~6_combout\,
        cout => \u3|Add1~7\);

\u3|Add1~8\ : cycloneii_lcell_comb
-- Equation(s):
-- \u3|Add1~8_combout\ = \u3|I2S_Stream_Counter\(4) & (\u3|Add1~7\ $ GND) # !\u3|I2S_Stream_Counter\(4) & !\u3|Add1~7\ & VCC
-- \u3|Add1~9\ = CARRY(\u3|I2S_Stream_Counter\(4) & !\u3|Add1~7\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010010100001010",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u3|I2S_Stream_Counter\(4),
        datad => VCC,
        cin => \u3|Add1~7\,
        combout => \u3|Add1~8_combout\,
        cout => \u3|Add1~9\);

\u3|Add1~10\ : cycloneii_lcell_comb
-- Equation(s):
-- \u3|Add1~10_combout\ = \u3|Add1~9\ $ \u3|I2S_Stream_Counter\(5)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111111110000",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datad => \u3|I2S_Stream_Counter\(5),
        cin => \u3|Add1~9\,
        combout => \u3|Add1~10_combout\);

\u3|I2S_Stream_Counter~8\ : cycloneii_lcell_comb
-- Equation(s):
-- \u3|I2S_Stream_Counter~8_combout\ = \u3|Add1~10_combout\ & !\u3|Equal3~0_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011001100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u3|Add1~10_combout\,
        datad => \u3|Equal3~0_combout\,
        combout => \u3|I2S_Stream_Counter~8_combout\);

\u3|I2S_Stream_Counter[5]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \u3|ALT_INV_I2S_Clock~clkctrl_outclk\,
        datain => \u3|I2S_Stream_Counter~8_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u3|I2S_Stream_Counter\(5));

\u3|Equal3~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u3|Equal3~0_combout\ = \u3|I2S_Stream_Counter\(0) & \u3|I2S_Stream_Counter\(2) & \u3|Equal1~0_combout\ & \u3|I2S_Stream_Counter\(5)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1000000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u3|I2S_Stream_Counter\(0),
        datab => \u3|I2S_Stream_Counter\(2),
        datac => \u3|Equal1~0_combout\,
        datad => \u3|I2S_Stream_Counter\(5),
        combout => \u3|Equal3~0_combout\);

\u3|I2S_Stream_Counter~6\ : cycloneii_lcell_comb
-- Equation(s):
-- \u3|I2S_Stream_Counter~6_combout\ = \u3|Add1~2_combout\ & !\u3|Equal3~0_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000010101010",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u3|Add1~2_combout\,
        datad => \u3|Equal3~0_combout\,
        combout => \u3|I2S_Stream_Counter~6_combout\);

\u3|I2S_Stream_Counter[1]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \u3|ALT_INV_I2S_Clock~clkctrl_outclk\,
        datain => \u3|I2S_Stream_Counter~6_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u3|I2S_Stream_Counter\(1));

\u3|Add1~4\ : cycloneii_lcell_comb
-- Equation(s):
-- \u3|Add1~4_combout\ = \u3|I2S_Stream_Counter\(2) & (\u3|Add1~3\ $ GND) # !\u3|I2S_Stream_Counter\(2) & !\u3|Add1~3\ & VCC
-- \u3|Add1~5\ = CARRY(\u3|I2S_Stream_Counter\(2) & !\u3|Add1~3\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100001100001100",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u3|I2S_Stream_Counter\(2),
        datad => VCC,
        cin => \u3|Add1~3\,
        combout => \u3|Add1~4_combout\,
        cout => \u3|Add1~5\);

\u3|I2S_Stream_Counter~7\ : cycloneii_lcell_comb
-- Equation(s):
-- \u3|I2S_Stream_Counter~7_combout\ = !\u3|Equal3~0_combout\ & \u3|Add1~4_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0101010100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u3|Equal3~0_combout\,
        datad => \u3|Add1~4_combout\,
        combout => \u3|I2S_Stream_Counter~7_combout\);

\u3|I2S_Stream_Counter[2]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \u3|ALT_INV_I2S_Clock~clkctrl_outclk\,
        datain => \u3|I2S_Stream_Counter~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u3|I2S_Stream_Counter\(2));

\u3|I2S_Stream_Counter[3]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \u3|ALT_INV_I2S_Clock~clkctrl_outclk\,
        datain => \u3|Add1~6_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u3|I2S_Stream_Counter\(3));

\u6|Add18~45\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add18~45_combout\ = \u6|Add18~30_combout\ & \u6|LessThan16~5_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u6|Add18~30_combout\,
        datad => \u6|LessThan16~5_combout\,
        combout => \u6|Add18~45_combout\);

\u6|Add19~30\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add19~30_combout\ = \u6|Add19~29\ $ !\u6|Add18~45_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000001111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datad => \u6|Add18~45_combout\,
        cin => \u6|Add19~29\,
        combout => \u6|Add19~30_combout\);

\u6|Mux45~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Mux45~0_combout\ = \u6|LessThan17~4_combout\ & \u6|Add19~30_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100110000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u6|LessThan17~4_combout\,
        datad => \u6|Add19~30_combout\,
        combout => \u6|Mux45~0_combout\);

\u5|PCM_Data_Difference[15]~feeder\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|PCM_Data_Difference[15]~feeder_combout\ = VCC

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111111111",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        combout => \u5|PCM_Data_Difference[15]~feeder_combout\);

\u5|PCM_Data_Difference[15]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u5|PCM_Data_Difference[15]~feeder_combout\,
        ena => \u5|PCM_Data_Difference[0]~1_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u5|PCM_Data_Difference\(15));

\u6|Add2~47\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add2~47_combout\ = \u5|PCM_Data_Difference\(15) $ !\ADPCM_DECODER_DATA_RIGHT~regout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100001111000011",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u5|PCM_Data_Difference\(15),
        datac => \ADPCM_DECODER_DATA_RIGHT~regout\,
        combout => \u6|Add2~47_combout\);

\u6|Add2~48\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Add2~48_combout\ = \u6|Add2~47_combout\ $ \u6|Add2~46\ $ \u6|Last_PCM_Data\(15)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100001100111100",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u6|Add2~47_combout\,
        datad => \u6|Last_PCM_Data\(15),
        cin => \u6|Add2~46\,
        combout => \u6|Add2~48_combout\);

\u6|Last_PCM_Data[15]~18\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Last_PCM_Data[15]~18_combout\ = !\u6|Add2~48_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011111111",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datad => \u6|Add2~48_combout\,
        combout => \u6|Last_PCM_Data[15]~18_combout\);

\u6|Last_PCM_Data[15]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u6|Last_PCM_Data[15]~18_combout\,
        ena => \u5|Last_PCM_Data[15]~17_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u6|Last_PCM_Data\(15));

\u6|Last_PCM_Data[15]~_wirecell\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|Last_PCM_Data[15]~_wirecell_combout\ = !\u6|Last_PCM_Data\(15)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011111111",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datad => \u6|Last_PCM_Data\(15),
        combout => \u6|Last_PCM_Data[15]~_wirecell_combout\);

\u6|PCM_Data[15]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u6|Mux45~0_combout\,
        sdata => \u6|Last_PCM_Data[15]~_wirecell_combout\,
        sload => \u6|ADPCM_Decoder_State_Counter\(0),
        ena => \u5|PCM_Data[15]~182_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u6|PCM_Data\(15));

\u6|LessThan2~8\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|LessThan2~8_combout\ = \u6|PCM_Data\(15) & (\u6|LessThan2~4_combout\ # \u6|PCM_Data\(14) # \u6|PCM_Data\(13))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100110011001000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|LessThan2~4_combout\,
        datab => \u6|PCM_Data\(15),
        datac => \u6|PCM_Data\(14),
        datad => \u6|PCM_Data\(13),
        combout => \u6|LessThan2~8_combout\);

\u6|PCM_DATA_OUT[15]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u6|LessThan2~8_combout\,
        ena => \u5|PCM_DATA_OUT[15]~5_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u6|PCM_DATA_OUT\(15));

\u6|LessThan3~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|LessThan3~0_combout\ = \u6|LessThan2~4_combout\ & (\u6|PCM_Data\(14)) # !\u6|LessThan2~4_combout\ & (\u6|PCM_Data\(14) & (\u6|PCM_Data\(13)) # !\u6|PCM_Data\(14) & \u6|PCM_Data\(15) & !\u6|PCM_Data\(13))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000010100100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|LessThan2~4_combout\,
        datab => \u6|PCM_Data\(15),
        datac => \u6|PCM_Data\(14),
        datad => \u6|PCM_Data\(13),
        combout => \u6|LessThan3~0_combout\);

\u6|PCM_DATA_OUT[14]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u6|LessThan3~0_combout\,
        ena => \u5|PCM_DATA_OUT[15]~5_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u6|PCM_DATA_OUT\(14));

\u3|Mux0~41\ : cycloneii_lcell_comb
-- Equation(s):
-- \u3|Mux0~41_combout\ = \u3|I2S_Stream_Counter\(1) & \u3|I2S_Stream_Counter\(0) # !\u3|I2S_Stream_Counter\(1) & (\u3|I2S_Stream_Counter\(0) & (\u6|PCM_DATA_OUT\(14)) # !\u3|I2S_Stream_Counter\(0) & \u6|PCM_DATA_OUT\(15))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1101110010011000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u3|I2S_Stream_Counter\(1),
        datab => \u3|I2S_Stream_Counter\(0),
        datac => \u6|PCM_DATA_OUT\(15),
        datad => \u6|PCM_DATA_OUT\(14),
        combout => \u3|Mux0~41_combout\);

\u6|LessThan4~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u6|LessThan4~0_combout\ = \u6|LessThan2~4_combout\ & (\u6|PCM_Data\(13)) # !\u6|LessThan2~4_combout\ & !\u6|PCM_Data\(13) & (\u6|PCM_Data\(15) # \u6|PCM_Data\(14))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010101001010100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|LessThan2~4_combout\,
        datab => \u6|PCM_Data\(15),
        datac => \u6|PCM_Data\(14),
        datad => \u6|PCM_Data\(13),
        combout => \u6|LessThan4~0_combout\);

\u6|PCM_DATA_OUT[13]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u6|LessThan4~0_combout\,
        ena => \u5|PCM_DATA_OUT[15]~5_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u6|PCM_DATA_OUT\(13));

\u3|Mux0~42\ : cycloneii_lcell_comb
-- Equation(s):
-- \u3|Mux0~42_combout\ = \u3|I2S_Stream_Counter\(1) & (\u3|Mux0~41_combout\ & \u6|PCM_DATA_OUT\(12) # !\u3|Mux0~41_combout\ & (\u6|PCM_DATA_OUT\(13))) # !\u3|I2S_Stream_Counter\(1) & (\u3|Mux0~41_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1011110010110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|PCM_DATA_OUT\(12),
        datab => \u3|I2S_Stream_Counter\(1),
        datac => \u3|Mux0~41_combout\,
        datad => \u6|PCM_DATA_OUT\(13),
        combout => \u3|Mux0~42_combout\);

\u3|Mux0~44\ : cycloneii_lcell_comb
-- Equation(s):
-- \u3|Mux0~44_combout\ = \u3|I2S_Stream_Counter\(3) & (\u3|I2S_Stream_Counter\(2)) # !\u3|I2S_Stream_Counter\(3) & (\u3|I2S_Stream_Counter\(2) & (\u3|Mux0~42_combout\) # !\u3|I2S_Stream_Counter\(2) & \u3|Mux0~43_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111110000100010",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u3|Mux0~43_combout\,
        datab => \u3|I2S_Stream_Counter\(3),
        datac => \u3|Mux0~42_combout\,
        datad => \u3|I2S_Stream_Counter\(2),
        combout => \u3|Mux0~44_combout\);

\u3|Mux0~47\ : cycloneii_lcell_comb
-- Equation(s):
-- \u3|Mux0~47_combout\ = \u3|Mux0~44_combout\ & (\u3|Mux0~46_combout\ # !\u3|I2S_Stream_Counter\(3)) # !\u3|Mux0~44_combout\ & (\u3|Mux0~40_combout\ & \u3|I2S_Stream_Counter\(3))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010110011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u3|Mux0~46_combout\,
        datab => \u3|Mux0~40_combout\,
        datac => \u3|Mux0~44_combout\,
        datad => \u3|I2S_Stream_Counter\(3),
        combout => \u3|Mux0~47_combout\);

\u3|I2S_Stream_Counter[4]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \u3|ALT_INV_I2S_Clock~clkctrl_outclk\,
        datain => \u3|Add1~8_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u3|I2S_Stream_Counter\(4));

\u3|Mux0~25\ : cycloneii_lcell_comb
-- Equation(s):
-- \u3|Mux0~25_combout\ = !\u3|I2S_Stream_Counter\(3) & !\u3|I2S_Stream_Counter\(2)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000000001111",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u3|I2S_Stream_Counter\(3),
        datad => \u3|I2S_Stream_Counter\(2),
        combout => \u3|Mux0~25_combout\);

\u5|Mux57~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Mux57~0_combout\ = \u5|Add19~6_combout\ & \u5|Mux45~4_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010101000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Add19~6_combout\,
        datad => \u5|Mux45~4_combout\,
        combout => \u5|Mux57~0_combout\);

\u4|FLASH_MEMORY_DATA_OUT[5]~feeder\ : cycloneii_lcell_comb
-- Equation(s):
-- \u4|FLASH_MEMORY_DATA_OUT[5]~feeder_combout\ = \FLASH_MEMORY_DATA_INOUT[5]~2\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datad => \FLASH_MEMORY_DATA_INOUT[5]~2\,
        combout => \u4|FLASH_MEMORY_DATA_OUT[5]~feeder_combout\);

\u4|FLASH_MEMORY_DATA_OUT[5]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \u4|ALT_INV_Flash_Memory_Clock~clkctrl_outclk\,
        datain => \u4|FLASH_MEMORY_DATA_OUT[5]~feeder_combout\,
        ena => \u4|Mux33~0_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u4|FLASH_MEMORY_DATA_OUT\(5));

\u4|FLASH_MEMORY_DATA_OUT[1]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \u4|ALT_INV_Flash_Memory_Clock~clkctrl_outclk\,
        sdata => \FLASH_MEMORY_DATA_INOUT[1]~6\,
        sload => VCC,
        ena => \u4|Mux33~0_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u4|FLASH_MEMORY_DATA_OUT\(1));

\u4|FLASH_MEMORY_DATA_OUT[3]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \u4|ALT_INV_Flash_Memory_Clock~clkctrl_outclk\,
        sdata => \FLASH_MEMORY_DATA_INOUT[3]~4\,
        sload => VCC,
        ena => \u4|Mux33~0_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u4|FLASH_MEMORY_DATA_OUT\(3));

\Mux0~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \Mux0~0_combout\ = ADPCM_Bit_Counter(1) & ADPCM_Bit_Counter(2) & \u4|FLASH_MEMORY_DATA_OUT\(1) # !ADPCM_Bit_Counter(1) & (\u4|FLASH_MEMORY_DATA_OUT\(3) # !ADPCM_Bit_Counter(2))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1101010110010001",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => ADPCM_Bit_Counter(1),
        datab => ADPCM_Bit_Counter(2),
        datac => \u4|FLASH_MEMORY_DATA_OUT\(1),
        datad => \u4|FLASH_MEMORY_DATA_OUT\(3),
        combout => \Mux0~0_combout\);

\Mux0~1\ : cycloneii_lcell_comb
-- Equation(s):
-- \Mux0~1_combout\ = ADPCM_Bit_Counter(2) & (\Mux0~0_combout\) # !ADPCM_Bit_Counter(2) & (\Mux0~0_combout\ & \u4|FLASH_MEMORY_DATA_OUT\(7) # !\Mux0~0_combout\ & (\u4|FLASH_MEMORY_DATA_OUT\(5)))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111101000001100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u4|FLASH_MEMORY_DATA_OUT\(7),
        datab => \u4|FLASH_MEMORY_DATA_OUT\(5),
        datac => ADPCM_Bit_Counter(2),
        datad => \Mux0~0_combout\,
        combout => \Mux0~1_combout\);

ADPCM_DECODER_DATA_LEFT : cycloneii_lcell_ff
PORT MAP (
        clk => \u3|I2S_PCM_DATA_ACCESS_OUT~clkctrl_outclk\,
        datain => \Mux0~1_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \ADPCM_DECODER_DATA_LEFT~regout\);

\u5|Last_ADPCM_Data\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        sdata => \ADPCM_DECODER_DATA_LEFT~regout\,
        sload => VCC,
        ena => \u5|Last_PCM_Data[15]~17_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u5|Last_ADPCM_Data~regout\);

\u5|process_0~1\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|process_0~1_combout\ = \ADPCM_DECODER_DATA_LEFT~regout\ $ \u5|Last_ADPCM_Data~regout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110000111100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \ADPCM_DECODER_DATA_LEFT~regout\,
        datac => \u5|Last_ADPCM_Data~regout\,
        combout => \u5|process_0~1_combout\);

\u5|ADPCM_Decoder_Step_Size_Table_Pointer[0]~40\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|ADPCM_Decoder_Step_Size_Table_Pointer[0]~40_combout\ = \u5|Add0~2_combout\ & (\u5|ADPCM_Decoder_Step_Size_Table_Pointer[0]~_Duplicate_2_regout\ $ VCC) # !\u5|Add0~2_combout\ & \u5|ADPCM_Decoder_Step_Size_Table_Pointer[0]~_Duplicate_2_regout\ & VCC
-- \u5|ADPCM_Decoder_Step_Size_Table_Pointer[0]~41\ = CARRY(\u5|Add0~2_combout\ & \u5|ADPCM_Decoder_Step_Size_Table_Pointer[0]~_Duplicate_2_regout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0110011010001000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Add0~2_combout\,
        datab => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[0]~_Duplicate_2_regout\,
        datad => VCC,
        combout => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[0]~40_combout\,
        cout => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[0]~41\);

\u5|ADPCM_Decoder_Step_Size_Table_Pointer[0]~_Duplicate_2\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[0]~40_combout\,
        ena => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[9]~45_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[0]~_Duplicate_2_regout\);

\u5|ADPCM_Decoder_Step_Size_Table_Pointer[1]~46\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|ADPCM_Decoder_Step_Size_Table_Pointer[1]~46_combout\ = \u5|process_0~1_combout\ & (\u5|ADPCM_Decoder_Step_Size_Table_Pointer[1]~_Duplicate_2_regout\ & \u5|ADPCM_Decoder_Step_Size_Table_Pointer[0]~41\ & VCC # 
-- !\u5|ADPCM_Decoder_Step_Size_Table_Pointer[1]~_Duplicate_2_regout\ & !\u5|ADPCM_Decoder_Step_Size_Table_Pointer[0]~41\) # !\u5|process_0~1_combout\ & (\u5|ADPCM_Decoder_Step_Size_Table_Pointer[1]~_Duplicate_2_regout\ & 
-- !\u5|ADPCM_Decoder_Step_Size_Table_Pointer[0]~41\ # !\u5|ADPCM_Decoder_Step_Size_Table_Pointer[1]~_Duplicate_2_regout\ & (\u5|ADPCM_Decoder_Step_Size_Table_Pointer[0]~41\ # GND))
-- \u5|ADPCM_Decoder_Step_Size_Table_Pointer[1]~47\ = CARRY(\u5|process_0~1_combout\ & !\u5|ADPCM_Decoder_Step_Size_Table_Pointer[1]~_Duplicate_2_regout\ & !\u5|ADPCM_Decoder_Step_Size_Table_Pointer[0]~41\ # !\u5|process_0~1_combout\ & 
-- (!\u5|ADPCM_Decoder_Step_Size_Table_Pointer[0]~41\ # !\u5|ADPCM_Decoder_Step_Size_Table_Pointer[1]~_Duplicate_2_regout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1001011000010111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|process_0~1_combout\,
        datab => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[1]~_Duplicate_2_regout\,
        datad => VCC,
        cin => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[0]~41\,
        combout => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[1]~46_combout\,
        cout => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[1]~47\);

\u5|ADPCM_Decoder_Step_Size_Table_Pointer[1]~_Duplicate_2\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[1]~46_combout\,
        ena => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[9]~45_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[1]~_Duplicate_2_regout\);

\u5|ADPCM_Decoder_Step_Size_Table_Pointer[2]~48\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|ADPCM_Decoder_Step_Size_Table_Pointer[2]~48_combout\ = (\u5|process_0~1_combout\ $ \u5|ADPCM_Decoder_Step_Size_Table_Pointer[2]~_Duplicate_2_regout\ $ !\u5|ADPCM_Decoder_Step_Size_Table_Pointer[1]~47\) # GND
-- \u5|ADPCM_Decoder_Step_Size_Table_Pointer[2]~49\ = CARRY(\u5|process_0~1_combout\ & (\u5|ADPCM_Decoder_Step_Size_Table_Pointer[2]~_Duplicate_2_regout\ # !\u5|ADPCM_Decoder_Step_Size_Table_Pointer[1]~47\) # !\u5|process_0~1_combout\ & 
-- \u5|ADPCM_Decoder_Step_Size_Table_Pointer[2]~_Duplicate_2_regout\ & !\u5|ADPCM_Decoder_Step_Size_Table_Pointer[1]~47\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0110100110001110",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|process_0~1_combout\,
        datab => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[2]~_Duplicate_2_regout\,
        datad => VCC,
        cin => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[1]~47\,
        combout => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[2]~48_combout\,
        cout => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[2]~49\);

\u5|ADPCM_Decoder_Step_Size_Table_Pointer[2]~_Duplicate_2\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[2]~48_combout\,
        ena => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[9]~45_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[2]~_Duplicate_2_regout\);

\u5|ADPCM_Decoder_Step_Size_Table_Pointer[3]~50\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|ADPCM_Decoder_Step_Size_Table_Pointer[3]~50_combout\ = \u5|ADPCM_Decoder_Step_Size_Table_Pointer[3]~_Duplicate_2_regout\ & (\u5|process_0~1_combout\ & \u5|ADPCM_Decoder_Step_Size_Table_Pointer[2]~49\ & VCC # !\u5|process_0~1_combout\ & 
-- !\u5|ADPCM_Decoder_Step_Size_Table_Pointer[2]~49\) # !\u5|ADPCM_Decoder_Step_Size_Table_Pointer[3]~_Duplicate_2_regout\ & (\u5|process_0~1_combout\ & !\u5|ADPCM_Decoder_Step_Size_Table_Pointer[2]~49\ # !\u5|process_0~1_combout\ & 
-- (\u5|ADPCM_Decoder_Step_Size_Table_Pointer[2]~49\ # GND))
-- \u5|ADPCM_Decoder_Step_Size_Table_Pointer[3]~51\ = CARRY(\u5|ADPCM_Decoder_Step_Size_Table_Pointer[3]~_Duplicate_2_regout\ & !\u5|process_0~1_combout\ & !\u5|ADPCM_Decoder_Step_Size_Table_Pointer[2]~49\ # 
-- !\u5|ADPCM_Decoder_Step_Size_Table_Pointer[3]~_Duplicate_2_regout\ & (!\u5|ADPCM_Decoder_Step_Size_Table_Pointer[2]~49\ # !\u5|process_0~1_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1001011000010111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[3]~_Duplicate_2_regout\,
        datab => \u5|process_0~1_combout\,
        datad => VCC,
        cin => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[2]~49\,
        combout => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[3]~50_combout\,
        cout => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[3]~51\);

\u5|ADPCM_Decoder_Step_Size_Table_Pointer[4]~52\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|ADPCM_Decoder_Step_Size_Table_Pointer[4]~52_combout\ = (\u5|ADPCM_Decoder_Step_Size_Table_Pointer[4]~_Duplicate_2_regout\ $ \u5|process_0~1_combout\ $ !\u5|ADPCM_Decoder_Step_Size_Table_Pointer[3]~51\) # GND
-- \u5|ADPCM_Decoder_Step_Size_Table_Pointer[4]~53\ = CARRY(\u5|ADPCM_Decoder_Step_Size_Table_Pointer[4]~_Duplicate_2_regout\ & (\u5|process_0~1_combout\ # !\u5|ADPCM_Decoder_Step_Size_Table_Pointer[3]~51\) # 
-- !\u5|ADPCM_Decoder_Step_Size_Table_Pointer[4]~_Duplicate_2_regout\ & \u5|process_0~1_combout\ & !\u5|ADPCM_Decoder_Step_Size_Table_Pointer[3]~51\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0110100110001110",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[4]~_Duplicate_2_regout\,
        datab => \u5|process_0~1_combout\,
        datad => VCC,
        cin => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[3]~51\,
        combout => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[4]~52_combout\,
        cout => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[4]~53\);

\u5|ADPCM_Decoder_Step_Size_Table_Pointer[5]~54\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|ADPCM_Decoder_Step_Size_Table_Pointer[5]~54_combout\ = \u5|ADPCM_Decoder_Step_Size_Table_Pointer[5]~_Duplicate_2_regout\ & (\u5|process_0~1_combout\ & \u5|ADPCM_Decoder_Step_Size_Table_Pointer[4]~53\ & VCC # !\u5|process_0~1_combout\ & 
-- !\u5|ADPCM_Decoder_Step_Size_Table_Pointer[4]~53\) # !\u5|ADPCM_Decoder_Step_Size_Table_Pointer[5]~_Duplicate_2_regout\ & (\u5|process_0~1_combout\ & !\u5|ADPCM_Decoder_Step_Size_Table_Pointer[4]~53\ # !\u5|process_0~1_combout\ & 
-- (\u5|ADPCM_Decoder_Step_Size_Table_Pointer[4]~53\ # GND))
-- \u5|ADPCM_Decoder_Step_Size_Table_Pointer[5]~55\ = CARRY(\u5|ADPCM_Decoder_Step_Size_Table_Pointer[5]~_Duplicate_2_regout\ & !\u5|process_0~1_combout\ & !\u5|ADPCM_Decoder_Step_Size_Table_Pointer[4]~53\ # 
-- !\u5|ADPCM_Decoder_Step_Size_Table_Pointer[5]~_Duplicate_2_regout\ & (!\u5|ADPCM_Decoder_Step_Size_Table_Pointer[4]~53\ # !\u5|process_0~1_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1001011000010111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[5]~_Duplicate_2_regout\,
        datab => \u5|process_0~1_combout\,
        datad => VCC,
        cin => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[4]~53\,
        combout => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[5]~54_combout\,
        cout => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[5]~55\);

\u5|ADPCM_Decoder_Step_Size_Table_Pointer[6]~56\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|ADPCM_Decoder_Step_Size_Table_Pointer[6]~56_combout\ = (\u5|ADPCM_Decoder_Step_Size_Table_Pointer[6]~_Duplicate_2_regout\ $ \u5|process_0~1_combout\ $ !\u5|ADPCM_Decoder_Step_Size_Table_Pointer[5]~55\) # GND
-- \u5|ADPCM_Decoder_Step_Size_Table_Pointer[6]~57\ = CARRY(\u5|ADPCM_Decoder_Step_Size_Table_Pointer[6]~_Duplicate_2_regout\ & (\u5|process_0~1_combout\ # !\u5|ADPCM_Decoder_Step_Size_Table_Pointer[5]~55\) # 
-- !\u5|ADPCM_Decoder_Step_Size_Table_Pointer[6]~_Duplicate_2_regout\ & \u5|process_0~1_combout\ & !\u5|ADPCM_Decoder_Step_Size_Table_Pointer[5]~55\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0110100110001110",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[6]~_Duplicate_2_regout\,
        datab => \u5|process_0~1_combout\,
        datad => VCC,
        cin => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[5]~55\,
        combout => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[6]~56_combout\,
        cout => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[6]~57\);

\u5|ADPCM_Decoder_Step_Size_Table_Pointer[6]~_Duplicate_2\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[6]~56_combout\,
        ena => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[9]~45_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[6]~_Duplicate_2_regout\);

\u5|ADPCM_Decoder_Step_Size_Table_Pointer[7]~58\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|ADPCM_Decoder_Step_Size_Table_Pointer[7]~58_combout\ = \u5|ADPCM_Decoder_Step_Size_Table_Pointer[7]~_Duplicate_2_regout\ & (\u5|process_0~1_combout\ & \u5|ADPCM_Decoder_Step_Size_Table_Pointer[6]~57\ & VCC # !\u5|process_0~1_combout\ & 
-- !\u5|ADPCM_Decoder_Step_Size_Table_Pointer[6]~57\) # !\u5|ADPCM_Decoder_Step_Size_Table_Pointer[7]~_Duplicate_2_regout\ & (\u5|process_0~1_combout\ & !\u5|ADPCM_Decoder_Step_Size_Table_Pointer[6]~57\ # !\u5|process_0~1_combout\ & 
-- (\u5|ADPCM_Decoder_Step_Size_Table_Pointer[6]~57\ # GND))
-- \u5|ADPCM_Decoder_Step_Size_Table_Pointer[7]~59\ = CARRY(\u5|ADPCM_Decoder_Step_Size_Table_Pointer[7]~_Duplicate_2_regout\ & !\u5|process_0~1_combout\ & !\u5|ADPCM_Decoder_Step_Size_Table_Pointer[6]~57\ # 
-- !\u5|ADPCM_Decoder_Step_Size_Table_Pointer[7]~_Duplicate_2_regout\ & (!\u5|ADPCM_Decoder_Step_Size_Table_Pointer[6]~57\ # !\u5|process_0~1_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1001011000010111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[7]~_Duplicate_2_regout\,
        datab => \u5|process_0~1_combout\,
        datad => VCC,
        cin => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[6]~57\,
        combout => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[7]~58_combout\,
        cout => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[7]~59\);

\u5|ADPCM_Decoder_Step_Size_Table_Pointer[7]~_Duplicate_2\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[7]~58_combout\,
        ena => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[9]~45_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[7]~_Duplicate_2_regout\);

\u5|ADPCM_Decoder_Step_Size_Table_Pointer[8]~60\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|ADPCM_Decoder_Step_Size_Table_Pointer[8]~60_combout\ = (\u5|ADPCM_Decoder_Step_Size_Table_Pointer[8]~_Duplicate_2_regout\ $ \u5|process_0~1_combout\ $ !\u5|ADPCM_Decoder_Step_Size_Table_Pointer[7]~59\) # GND
-- \u5|ADPCM_Decoder_Step_Size_Table_Pointer[8]~61\ = CARRY(\u5|ADPCM_Decoder_Step_Size_Table_Pointer[8]~_Duplicate_2_regout\ & (\u5|process_0~1_combout\ # !\u5|ADPCM_Decoder_Step_Size_Table_Pointer[7]~59\) # 
-- !\u5|ADPCM_Decoder_Step_Size_Table_Pointer[8]~_Duplicate_2_regout\ & \u5|process_0~1_combout\ & !\u5|ADPCM_Decoder_Step_Size_Table_Pointer[7]~59\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0110100110001110",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[8]~_Duplicate_2_regout\,
        datab => \u5|process_0~1_combout\,
        datad => VCC,
        cin => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[7]~59\,
        combout => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[8]~60_combout\,
        cout => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[8]~61\);

\u5|ADPCM_Decoder_Step_Size_Table_Pointer[9]~62\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|ADPCM_Decoder_Step_Size_Table_Pointer[9]~62_combout\ = \u5|process_0~1_combout\ $ \u5|ADPCM_Decoder_Step_Size_Table_Pointer[8]~61\ $ \u5|ADPCM_Decoder_Step_Size_Table_Pointer[9]~_Duplicate_2_regout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100001100111100",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u5|process_0~1_combout\,
        datad => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[9]~_Duplicate_2_regout\,
        cin => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[8]~61\,
        combout => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[9]~62_combout\);

\u5|ADPCM_Decoder_Step_Size_Table_Pointer[9]~_Duplicate_2\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[9]~62_combout\,
        ena => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[9]~45_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[9]~_Duplicate_2_regout\);

\u5|ADPCM_Decoder_Step_Size_Table_Pointer[9]~43\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|ADPCM_Decoder_Step_Size_Table_Pointer[9]~43_combout\ = \u5|ADPCM_Decoder_Step_Size_Table_Pointer[8]~_Duplicate_2_regout\ # \u5|ADPCM_Decoder_Step_Size_Table_Pointer[6]~_Duplicate_2_regout\ # 
-- \u5|ADPCM_Decoder_Step_Size_Table_Pointer[7]~_Duplicate_2_regout\ # \u5|ADPCM_Decoder_Step_Size_Table_Pointer[9]~_Duplicate_2_regout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111111110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[8]~_Duplicate_2_regout\,
        datab => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[6]~_Duplicate_2_regout\,
        datac => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[7]~_Duplicate_2_regout\,
        datad => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[9]~_Duplicate_2_regout\,
        combout => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[9]~43_combout\);

\u5|ADPCM_Decoder_Step_Size_Table_Pointer[4]~_Duplicate_2\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[4]~52_combout\,
        ena => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[9]~45_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[4]~_Duplicate_2_regout\);

\u5|ADPCM_Decoder_Step_Size_Table_Pointer[3]~_Duplicate_2\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[3]~50_combout\,
        ena => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[9]~45_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[3]~_Duplicate_2_regout\);

\u5|LessThan0~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|LessThan0~0_combout\ = !\u5|ADPCM_Decoder_Step_Size_Table_Pointer[4]~_Duplicate_2_regout\ & !\u5|ADPCM_Decoder_Step_Size_Table_Pointer[3]~_Duplicate_2_regout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000000001111",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[4]~_Duplicate_2_regout\,
        datad => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[3]~_Duplicate_2_regout\,
        combout => \u5|LessThan0~0_combout\);

\u5|ADPCM_Decoder_Step_Size_Table_Pointer[9]~44\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|ADPCM_Decoder_Step_Size_Table_Pointer[9]~44_combout\ = \u5|ADPCM_Decoder_Step_Size_Table_Pointer[9]~42_combout\ # \u5|ADPCM_Decoder_Step_Size_Table_Pointer[9]~43_combout\ # !\u5|LessThan0~0_combout\ # !\u5|process_0~1_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111101111111111",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[9]~42_combout\,
        datab => \u5|process_0~1_combout\,
        datac => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[9]~43_combout\,
        datad => \u5|LessThan0~0_combout\,
        combout => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[9]~44_combout\);

\u5|ADPCM_Decoder_Step_Size_Table_Pointer[9]~45\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|ADPCM_Decoder_Step_Size_Table_Pointer[9]~45_combout\ = \u5|ADPCM_Decoder_Step_Size_Table_Pointer[9]~44_combout\ & \u6|ADPCM_Decoder_State_Counter\(0) & \u5|PCM_Data_Difference[0]~0_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[9]~44_combout\,
        datac => \u6|ADPCM_Decoder_State_Counter\(0),
        datad => \u5|PCM_Data_Difference[0]~0_combout\,
        combout => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[9]~45_combout\);

\u5|Mult0|auto_generated|mac_mult1\ : cycloneii_mac_mult
-- pragma translate_off
GENERIC MAP (
        dataa_clock => "0",
        dataa_width => 18,
        datab_clock => "0",
        datab_width => 18,
        signa_clock => "none",
        signb_clock => "none")
-- pragma translate_on
PORT MAP (
        signa => \~GND~combout\,
        signb => \~GND~combout\,
        clk => \CLOCK_IN~clkctrl_outclk\,
        aclr => GND,
        ena => \u5|ADPCM_Decoder_Step_Size_Table_Pointer[9]~45_combout\,
        dataa => \u5|Mult0|auto_generated|mac_mult1_DATAA_bus\,
        datab => \u5|Mult0|auto_generated|mac_mult1_DATAB_bus\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        dataout => \u5|Mult0|auto_generated|mac_mult1_DATAOUT_bus\);

\u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[3]~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[3]~2_combout\ = \u5|Mult0|auto_generated|mac_out2~DATAOUT16\ & \u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[2]~1\ & VCC # !\u5|Mult0|auto_generated|mac_out2~DATAOUT16\ & 
-- !\u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[2]~1\
-- \u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[3]~3\ = CARRY(!\u5|Mult0|auto_generated|mac_out2~DATAOUT16\ & !\u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[2]~1\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010010100000101",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Mult0|auto_generated|mac_out2~DATAOUT16\,
        datad => VCC,
        cin => \u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[2]~1\,
        combout => \u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[3]~2_combout\,
        cout => \u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[3]~3\);

\u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\ = !\u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[6]~9\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111100001111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        cin => \u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[6]~9\,
        combout => \u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[54]~209\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[54]~209_combout\ = \u5|Mult0|auto_generated|mac_out2~DATAOUT19\ & \u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Mult0|auto_generated|mac_out2~DATAOUT19\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[54]~209_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[53]~210\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[53]~210_combout\ = \u5|Mult0|auto_generated|mac_out2~DATAOUT18\ & \u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Mult0|auto_generated|mac_out2~DATAOUT18\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[53]~210_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[52]~211\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[52]~211_combout\ = \u5|Mult0|auto_generated|mac_out2~DATAOUT17\ & \u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100110000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u5|Mult0|auto_generated|mac_out2~DATAOUT17\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[52]~211_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[51]~212\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[51]~212_combout\ = \u5|Mult0|auto_generated|mac_out2~DATAOUT16\ & \u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Mult0|auto_generated|mac_out2~DATAOUT16\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[51]~212_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[50]~213\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[50]~213_combout\ = \u5|Mult0|auto_generated|mac_out2~DATAOUT15\ & \u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Mult0|auto_generated|mac_out2~DATAOUT15\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[50]~213_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[49]~222\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[49]~222_combout\ = \u5|Mult0|auto_generated|mac_out2~DATAOUT14\ & !\u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Mult0|auto_generated|mac_out2~DATAOUT14\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[49]~222_combout\);

\u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[2]~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[2]~0_combout\ = (\u5|Div0|auto_generated|divider|divider|StageOut[49]~214_combout\ # \u5|Div0|auto_generated|divider|divider|StageOut[49]~222_combout\)
-- \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[2]~1\ = CARRY(\u5|Div0|auto_generated|divider|divider|StageOut[49]~214_combout\ # \u5|Div0|auto_generated|divider|divider|StageOut[49]~222_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0001000111101110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[49]~214_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[49]~222_combout\,
        datad => VCC,
        combout => \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[2]~0_combout\,
        cout => \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[2]~1\);

\u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[3]~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[3]~2_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[2]~1\ & (\u5|Div0|auto_generated|divider|divider|StageOut[50]~221_combout\ # 
-- \u5|Div0|auto_generated|divider|divider|StageOut[50]~213_combout\) # !\u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[2]~1\ & !\u5|Div0|auto_generated|divider|divider|StageOut[50]~221_combout\ & 
-- !\u5|Div0|auto_generated|divider|divider|StageOut[50]~213_combout\
-- \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[3]~3\ = CARRY(!\u5|Div0|auto_generated|divider|divider|StageOut[50]~221_combout\ & !\u5|Div0|auto_generated|divider|divider|StageOut[50]~213_combout\ & 
-- !\u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[2]~1\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1110000100000001",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[50]~221_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[50]~213_combout\,
        datad => VCC,
        cin => \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[2]~1\,
        combout => \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[3]~2_combout\,
        cout => \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[3]~3\);

\u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[4]~4\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[4]~4_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[3]~3\ & ((\u5|Div0|auto_generated|divider|divider|StageOut[51]~220_combout\ # 
-- \u5|Div0|auto_generated|divider|divider|StageOut[51]~212_combout\)) # !\u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[3]~3\ & (\u5|Div0|auto_generated|divider|divider|StageOut[51]~220_combout\ # 
-- \u5|Div0|auto_generated|divider|divider|StageOut[51]~212_combout\ # GND)
-- \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[4]~5\ = CARRY(\u5|Div0|auto_generated|divider|divider|StageOut[51]~220_combout\ # \u5|Div0|auto_generated|divider|divider|StageOut[51]~212_combout\ # 
-- !\u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[3]~3\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0001111011101111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[51]~220_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[51]~212_combout\,
        datad => VCC,
        cin => \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[3]~3\,
        combout => \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[4]~4_combout\,
        cout => \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[4]~5\);

\u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[5]~6\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[5]~6_combout\ = \u5|Div0|auto_generated|divider|divider|StageOut[52]~219_combout\ & (!\u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[4]~5\) # 
-- !\u5|Div0|auto_generated|divider|divider|StageOut[52]~219_combout\ & (\u5|Div0|auto_generated|divider|divider|StageOut[52]~211_combout\ & !\u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[4]~5\ # 
-- !\u5|Div0|auto_generated|divider|divider|StageOut[52]~211_combout\ & (\u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[4]~5\ # GND))
-- \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[5]~7\ = CARRY(!\u5|Div0|auto_generated|divider|divider|StageOut[52]~219_combout\ & !\u5|Div0|auto_generated|divider|divider|StageOut[52]~211_combout\ # 
-- !\u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[4]~5\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0001111000011111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[52]~219_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[52]~211_combout\,
        datad => VCC,
        cin => \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[4]~5\,
        combout => \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[5]~6_combout\,
        cout => \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[5]~7\);

\u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[6]~8\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[6]~8_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[5]~7\ & (\u5|Div0|auto_generated|divider|divider|StageOut[53]~218_combout\ # 
-- \u5|Div0|auto_generated|divider|divider|StageOut[53]~210_combout\) # !\u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[5]~7\ & ((\u5|Div0|auto_generated|divider|divider|StageOut[53]~218_combout\ # 
-- \u5|Div0|auto_generated|divider|divider|StageOut[53]~210_combout\))
-- \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[6]~9\ = CARRY(!\u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[5]~7\ & (\u5|Div0|auto_generated|divider|divider|StageOut[53]~218_combout\ # 
-- \u5|Div0|auto_generated|divider|divider|StageOut[53]~210_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1110000100001110",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[53]~218_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[53]~210_combout\,
        datad => VCC,
        cin => \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[5]~7\,
        combout => \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[6]~8_combout\,
        cout => \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[6]~9\);

\u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[7]~11\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[7]~11_cout\ = CARRY(!\u5|Div0|auto_generated|divider|divider|StageOut[54]~217_combout\ & !\u5|Div0|auto_generated|divider|divider|StageOut[54]~209_combout\ & 
-- !\u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[6]~9\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000000000001",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[54]~217_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[54]~209_combout\,
        datad => VCC,
        cin => \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[6]~9\,
        cout => \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[7]~11_cout\);

\u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[7]~11_cout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000011110000",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        cin => \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[7]~11_cout\,
        combout => \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[62]~201\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[62]~201_combout\ = !\u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\ & \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[6]~8_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[6]~8_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[62]~201_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[61]~202\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[61]~202_combout\ = !\u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\ & \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[5]~6_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[5]~6_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[61]~202_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[60]~203\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[60]~203_combout\ = !\u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\ & \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[4]~4_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[4]~4_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[60]~203_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[59]~580\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[59]~580_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\ & (\u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\ & 
-- (\u5|Mult0|auto_generated|mac_out2~DATAOUT15\) # !\u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\ & \u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[2]~0_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100000010100000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[2]~0_combout\,
        datab => \u5|Mult0|auto_generated|mac_out2~DATAOUT15\,
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[59]~580_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[58]~197\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[58]~197_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\ & \u5|Mult0|auto_generated|mac_out2~DATAOUT14\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\,
        datad => \u5|Mult0|auto_generated|mac_out2~DATAOUT14\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[58]~197_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[57]~206\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[57]~206_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[1]~14_combout\ & !\u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000010101010",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[1]~14_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[57]~206_combout\);

\u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[2]~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[2]~0_combout\ = (\u5|Div0|auto_generated|divider|divider|StageOut[57]~198_combout\ # \u5|Div0|auto_generated|divider|divider|StageOut[57]~206_combout\)
-- \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[2]~1\ = CARRY(\u5|Div0|auto_generated|divider|divider|StageOut[57]~198_combout\ # \u5|Div0|auto_generated|divider|divider|StageOut[57]~206_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0001000111101110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[57]~198_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[57]~206_combout\,
        datad => VCC,
        combout => \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[2]~0_combout\,
        cout => \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[2]~1\);

\u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[3]~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[3]~2_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[2]~1\ & (\u5|Div0|auto_generated|divider|divider|StageOut[58]~205_combout\ # 
-- \u5|Div0|auto_generated|divider|divider|StageOut[58]~197_combout\) # !\u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[2]~1\ & !\u5|Div0|auto_generated|divider|divider|StageOut[58]~205_combout\ & 
-- !\u5|Div0|auto_generated|divider|divider|StageOut[58]~197_combout\
-- \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[3]~3\ = CARRY(!\u5|Div0|auto_generated|divider|divider|StageOut[58]~205_combout\ & !\u5|Div0|auto_generated|divider|divider|StageOut[58]~197_combout\ & 
-- !\u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[2]~1\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1110000100000001",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[58]~205_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[58]~197_combout\,
        datad => VCC,
        cin => \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[2]~1\,
        combout => \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[3]~2_combout\,
        cout => \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[3]~3\);

\u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[4]~4\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[4]~4_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[3]~3\ & ((\u5|Div0|auto_generated|divider|divider|StageOut[59]~204_combout\ # 
-- \u5|Div0|auto_generated|divider|divider|StageOut[59]~580_combout\)) # !\u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[3]~3\ & (\u5|Div0|auto_generated|divider|divider|StageOut[59]~204_combout\ # 
-- \u5|Div0|auto_generated|divider|divider|StageOut[59]~580_combout\ # GND)
-- \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[4]~5\ = CARRY(\u5|Div0|auto_generated|divider|divider|StageOut[59]~204_combout\ # \u5|Div0|auto_generated|divider|divider|StageOut[59]~580_combout\ # 
-- !\u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[3]~3\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0001111011101111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[59]~204_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[59]~580_combout\,
        datad => VCC,
        cin => \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[3]~3\,
        combout => \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[4]~4_combout\,
        cout => \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[4]~5\);

\u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[5]~6\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[5]~6_combout\ = \u5|Div0|auto_generated|divider|divider|StageOut[60]~579_combout\ & (!\u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[4]~5\) # 
-- !\u5|Div0|auto_generated|divider|divider|StageOut[60]~579_combout\ & (\u5|Div0|auto_generated|divider|divider|StageOut[60]~203_combout\ & !\u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[4]~5\ # 
-- !\u5|Div0|auto_generated|divider|divider|StageOut[60]~203_combout\ & (\u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[4]~5\ # GND))
-- \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[5]~7\ = CARRY(!\u5|Div0|auto_generated|divider|divider|StageOut[60]~579_combout\ & !\u5|Div0|auto_generated|divider|divider|StageOut[60]~203_combout\ # 
-- !\u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[4]~5\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0001111000011111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[60]~579_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[60]~203_combout\,
        datad => VCC,
        cin => \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[4]~5\,
        combout => \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[5]~6_combout\,
        cout => \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[5]~7\);

\u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[6]~8\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[6]~8_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[5]~7\ & (\u5|Div0|auto_generated|divider|divider|StageOut[61]~578_combout\ # 
-- \u5|Div0|auto_generated|divider|divider|StageOut[61]~202_combout\) # !\u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[5]~7\ & ((\u5|Div0|auto_generated|divider|divider|StageOut[61]~578_combout\ # 
-- \u5|Div0|auto_generated|divider|divider|StageOut[61]~202_combout\))
-- \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[6]~9\ = CARRY(!\u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[5]~7\ & (\u5|Div0|auto_generated|divider|divider|StageOut[61]~578_combout\ # 
-- \u5|Div0|auto_generated|divider|divider|StageOut[61]~202_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1110000100001110",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[61]~578_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[61]~202_combout\,
        datad => VCC,
        cin => \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[5]~7\,
        combout => \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[6]~8_combout\,
        cout => \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[6]~9\);

\u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[7]~11\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[7]~11_cout\ = CARRY(!\u5|Div0|auto_generated|divider|divider|StageOut[62]~577_combout\ & !\u5|Div0|auto_generated|divider|divider|StageOut[62]~201_combout\ & 
-- !\u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[6]~9\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000000000001",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[62]~577_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[62]~201_combout\,
        datad => VCC,
        cin => \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[6]~9\,
        cout => \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[7]~11_cout\);

\u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[7]~11_cout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000011110000",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        cin => \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[7]~11_cout\,
        combout => \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[60]~579\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[60]~579_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\ & (\u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\ & 
-- \u5|Mult0|auto_generated|mac_out2~DATAOUT16\ # !\u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\ & (\u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[3]~2_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010000011000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Mult0|auto_generated|mac_out2~DATAOUT16\,
        datab => \u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[3]~2_combout\,
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[60]~579_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[69]~535\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[69]~535_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\ & (\u5|Div0|auto_generated|divider|divider|StageOut[60]~579_combout\ # 
-- !\u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\ & \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[4]~4_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111010000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[4]~4_combout\,
        datac => \u5|Div0|auto_generated|divider|divider|StageOut[60]~579_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[69]~535_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[70]~185\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[70]~185_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[6]~8_combout\ & !\u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[6]~8_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[70]~185_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[69]~186\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[69]~186_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[5]~6_combout\ & !\u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[5]~6_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[69]~186_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[68]~187\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[68]~187_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[4]~4_combout\ & !\u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[4]~4_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[68]~187_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[67]~581\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[67]~581_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\ & (\u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\ & 
-- \u5|Mult0|auto_generated|mac_out2~DATAOUT14\ # !\u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\ & (\u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[2]~0_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010110000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Mult0|auto_generated|mac_out2~DATAOUT14\,
        datab => \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[2]~0_combout\,
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[67]~581_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[66]~189\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[66]~189_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[2]~0_combout\ & !\u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[2]~0_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[66]~189_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[65]~182\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[65]~182_combout\ = \u5|Mult0|auto_generated|mac_out2~DATAOUT12\ & \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Mult0|auto_generated|mac_out2~DATAOUT12\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[65]~182_combout\);

\u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[2]~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[2]~0_combout\ = (\u5|Div0|auto_generated|divider|divider|StageOut[65]~190_combout\ # \u5|Div0|auto_generated|divider|divider|StageOut[65]~182_combout\)
-- \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[2]~1\ = CARRY(\u5|Div0|auto_generated|divider|divider|StageOut[65]~190_combout\ # \u5|Div0|auto_generated|divider|divider|StageOut[65]~182_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0001000111101110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[65]~190_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[65]~182_combout\,
        datad => VCC,
        combout => \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[2]~0_combout\,
        cout => \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[2]~1\);

\u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[3]~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[3]~2_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[2]~1\ & (\u5|Div0|auto_generated|divider|divider|StageOut[66]~582_combout\ # 
-- \u5|Div0|auto_generated|divider|divider|StageOut[66]~189_combout\) # !\u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[2]~1\ & !\u5|Div0|auto_generated|divider|divider|StageOut[66]~582_combout\ & 
-- !\u5|Div0|auto_generated|divider|divider|StageOut[66]~189_combout\
-- \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[3]~3\ = CARRY(!\u5|Div0|auto_generated|divider|divider|StageOut[66]~582_combout\ & !\u5|Div0|auto_generated|divider|divider|StageOut[66]~189_combout\ & 
-- !\u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[2]~1\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1110000100000001",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[66]~582_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[66]~189_combout\,
        datad => VCC,
        cin => \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[2]~1\,
        combout => \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[3]~2_combout\,
        cout => \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[3]~3\);

\u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[5]~6\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[5]~6_combout\ = \u5|Div0|auto_generated|divider|divider|StageOut[68]~536_combout\ & (!\u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[4]~5\) # 
-- !\u5|Div0|auto_generated|divider|divider|StageOut[68]~536_combout\ & (\u5|Div0|auto_generated|divider|divider|StageOut[68]~187_combout\ & !\u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[4]~5\ # 
-- !\u5|Div0|auto_generated|divider|divider|StageOut[68]~187_combout\ & (\u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[4]~5\ # GND))
-- \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[5]~7\ = CARRY(!\u5|Div0|auto_generated|divider|divider|StageOut[68]~536_combout\ & !\u5|Div0|auto_generated|divider|divider|StageOut[68]~187_combout\ # 
-- !\u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[4]~5\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0001111000011111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[68]~536_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[68]~187_combout\,
        datad => VCC,
        cin => \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[4]~5\,
        combout => \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[5]~6_combout\,
        cout => \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[5]~7\);

\u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[7]~11\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[7]~11_cout\ = CARRY(!\u5|Div0|auto_generated|divider|divider|StageOut[70]~534_combout\ & !\u5|Div0|auto_generated|divider|divider|StageOut[70]~185_combout\ & 
-- !\u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[6]~9\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000000000001",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[70]~534_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[70]~185_combout\,
        datad => VCC,
        cin => \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[6]~9\,
        cout => \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[7]~11_cout\);

\u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[7]~11_cout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000011110000",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        cin => \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[7]~11_cout\,
        combout => \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[78]~537\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[78]~537_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\ & (\u5|Div0|auto_generated|divider|divider|StageOut[69]~535_combout\ # 
-- \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[5]~6_combout\ & !\u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111001000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[5]~6_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\,
        datac => \u5|Div0|auto_generated|divider|divider|StageOut[69]~535_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[78]~537_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[77]~170\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[77]~170_combout\ = !\u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\ & \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[5]~6_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[5]~6_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[77]~170_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[76]~539\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[76]~539_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\ & (\u5|Div0|auto_generated|divider|divider|StageOut[67]~581_combout\ # 
-- !\u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\ & \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[3]~2_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111010000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[3]~2_combout\,
        datac => \u5|Div0|auto_generated|divider|divider|StageOut[67]~581_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[76]~539_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[75]~172\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[75]~172_combout\ = !\u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\ & \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[3]~2_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[3]~2_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[75]~172_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[74]~173\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[74]~173_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[2]~0_combout\ & !\u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[2]~0_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[74]~173_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[64]~183\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[64]~183_combout\ = \u5|Mult0|auto_generated|mac_out2~DATAOUT11\ & \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Mult0|auto_generated|mac_out2~DATAOUT11\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[64]~183_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[64]~191\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[64]~191_combout\ = \u5|Mult0|auto_generated|mac_out2~DATAOUT11\ & !\u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Mult0|auto_generated|mac_out2~DATAOUT11\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[64]~191_combout\);

\u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[1]~14\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[1]~14_combout\ = \u5|Div0|auto_generated|divider|divider|StageOut[64]~183_combout\ # \u5|Div0|auto_generated|divider|divider|StageOut[64]~191_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|StageOut[64]~183_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|StageOut[64]~191_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[1]~14_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[73]~174\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[73]~174_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[1]~14_combout\ & !\u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[1]~14_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[73]~174_combout\);

\u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[3]~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[3]~2_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[2]~1\ & (\u5|Div0|auto_generated|divider|divider|StageOut[74]~583_combout\ # 
-- \u5|Div0|auto_generated|divider|divider|StageOut[74]~173_combout\) # !\u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[2]~1\ & !\u5|Div0|auto_generated|divider|divider|StageOut[74]~583_combout\ & 
-- !\u5|Div0|auto_generated|divider|divider|StageOut[74]~173_combout\
-- \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[3]~3\ = CARRY(!\u5|Div0|auto_generated|divider|divider|StageOut[74]~583_combout\ & !\u5|Div0|auto_generated|divider|divider|StageOut[74]~173_combout\ & 
-- !\u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[2]~1\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1110000100000001",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[74]~583_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[74]~173_combout\,
        datad => VCC,
        cin => \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[2]~1\,
        combout => \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[3]~2_combout\,
        cout => \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[3]~3\);

\u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[4]~4\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[4]~4_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[3]~3\ & ((\u5|Div0|auto_generated|divider|divider|StageOut[75]~540_combout\ # 
-- \u5|Div0|auto_generated|divider|divider|StageOut[75]~172_combout\)) # !\u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[3]~3\ & (\u5|Div0|auto_generated|divider|divider|StageOut[75]~540_combout\ # 
-- \u5|Div0|auto_generated|divider|divider|StageOut[75]~172_combout\ # GND)
-- \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[4]~5\ = CARRY(\u5|Div0|auto_generated|divider|divider|StageOut[75]~540_combout\ # \u5|Div0|auto_generated|divider|divider|StageOut[75]~172_combout\ # 
-- !\u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[3]~3\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0001111011101111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[75]~540_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[75]~172_combout\,
        datad => VCC,
        cin => \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[3]~3\,
        combout => \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[4]~4_combout\,
        cout => \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[4]~5\);

\u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[5]~6\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[5]~6_combout\ = \u5|Div0|auto_generated|divider|divider|StageOut[76]~171_combout\ & (!\u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[4]~5\) # 
-- !\u5|Div0|auto_generated|divider|divider|StageOut[76]~171_combout\ & (\u5|Div0|auto_generated|divider|divider|StageOut[76]~539_combout\ & !\u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[4]~5\ # 
-- !\u5|Div0|auto_generated|divider|divider|StageOut[76]~539_combout\ & (\u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[4]~5\ # GND))
-- \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[5]~7\ = CARRY(!\u5|Div0|auto_generated|divider|divider|StageOut[76]~171_combout\ & !\u5|Div0|auto_generated|divider|divider|StageOut[76]~539_combout\ # 
-- !\u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[4]~5\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0001111000011111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[76]~171_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[76]~539_combout\,
        datad => VCC,
        cin => \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[4]~5\,
        combout => \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[5]~6_combout\,
        cout => \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[5]~7\);

\u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[7]~11\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[7]~11_cout\ = CARRY(!\u5|Div0|auto_generated|divider|divider|StageOut[78]~169_combout\ & !\u5|Div0|auto_generated|divider|divider|StageOut[78]~537_combout\ & 
-- !\u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[6]~9\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000000000001",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[78]~169_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[78]~537_combout\,
        datad => VCC,
        cin => \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[6]~9\,
        cout => \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[7]~11_cout\);

\u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[7]~11_cout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000011110000",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        cin => \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[7]~11_cout\,
        combout => \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[68]~536\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[68]~536_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\ & (\u5|Div0|auto_generated|divider|divider|StageOut[59]~580_combout\ # 
-- !\u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\ & \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[3]~2_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1101110000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[59]~580_combout\,
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[3]~2_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[68]~536_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[77]~538\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[77]~538_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\ & (\u5|Div0|auto_generated|divider|divider|StageOut[68]~536_combout\ # 
-- !\u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\ & \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[4]~4_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1101110000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[68]~536_combout\,
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[4]~4_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[77]~538_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[86]~541\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[86]~541_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\ & (\u5|Div0|auto_generated|divider|divider|StageOut[77]~538_combout\ # 
-- !\u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\ & \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[5]~6_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111010000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[5]~6_combout\,
        datac => \u5|Div0|auto_generated|divider|divider|StageOut[77]~538_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[86]~541_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[85]~154\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[85]~154_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[5]~6_combout\ & !\u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000010101010",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[5]~6_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[85]~154_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[84]~155\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[84]~155_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[4]~4_combout\ & !\u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000010101010",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[4]~4_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[84]~155_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[83]~156\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[83]~156_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[3]~2_combout\ & !\u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000010101010",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[3]~2_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[83]~156_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[82]~157\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[82]~157_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[2]~0_combout\ & !\u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000010101010",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[2]~0_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[82]~157_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[81]~150\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[81]~150_combout\ = \u5|Mult0|auto_generated|mac_out2~DATAOUT10\ & \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Mult0|auto_generated|mac_out2~DATAOUT10\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[81]~150_combout\);

\u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[2]~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[2]~0_combout\ = (\u5|Div0|auto_generated|divider|divider|StageOut[81]~158_combout\ # \u5|Div0|auto_generated|divider|divider|StageOut[81]~150_combout\)
-- \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[2]~1\ = CARRY(\u5|Div0|auto_generated|divider|divider|StageOut[81]~158_combout\ # \u5|Div0|auto_generated|divider|divider|StageOut[81]~150_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0001000111101110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[81]~158_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[81]~150_combout\,
        datad => VCC,
        combout => \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[2]~0_combout\,
        cout => \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[2]~1\);

\u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[3]~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[3]~2_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[2]~1\ & (\u5|Div0|auto_generated|divider|divider|StageOut[82]~584_combout\ # 
-- \u5|Div0|auto_generated|divider|divider|StageOut[82]~157_combout\) # !\u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[2]~1\ & !\u5|Div0|auto_generated|divider|divider|StageOut[82]~584_combout\ & 
-- !\u5|Div0|auto_generated|divider|divider|StageOut[82]~157_combout\
-- \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[3]~3\ = CARRY(!\u5|Div0|auto_generated|divider|divider|StageOut[82]~584_combout\ & !\u5|Div0|auto_generated|divider|divider|StageOut[82]~157_combout\ & 
-- !\u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[2]~1\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1110000100000001",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[82]~584_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[82]~157_combout\,
        datad => VCC,
        cin => \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[2]~1\,
        combout => \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[3]~2_combout\,
        cout => \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[3]~3\);

\u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[4]~4\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[4]~4_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[3]~3\ & ((\u5|Div0|auto_generated|divider|divider|StageOut[83]~544_combout\ # 
-- \u5|Div0|auto_generated|divider|divider|StageOut[83]~156_combout\)) # !\u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[3]~3\ & (\u5|Div0|auto_generated|divider|divider|StageOut[83]~544_combout\ # 
-- \u5|Div0|auto_generated|divider|divider|StageOut[83]~156_combout\ # GND)
-- \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[4]~5\ = CARRY(\u5|Div0|auto_generated|divider|divider|StageOut[83]~544_combout\ # \u5|Div0|auto_generated|divider|divider|StageOut[83]~156_combout\ # 
-- !\u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[3]~3\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0001111011101111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[83]~544_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[83]~156_combout\,
        datad => VCC,
        cin => \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[3]~3\,
        combout => \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[4]~4_combout\,
        cout => \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[4]~5\);

\u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[5]~6\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[5]~6_combout\ = \u5|Div0|auto_generated|divider|divider|StageOut[84]~543_combout\ & (!\u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[4]~5\) # 
-- !\u5|Div0|auto_generated|divider|divider|StageOut[84]~543_combout\ & (\u5|Div0|auto_generated|divider|divider|StageOut[84]~155_combout\ & !\u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[4]~5\ # 
-- !\u5|Div0|auto_generated|divider|divider|StageOut[84]~155_combout\ & (\u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[4]~5\ # GND))
-- \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[5]~7\ = CARRY(!\u5|Div0|auto_generated|divider|divider|StageOut[84]~543_combout\ & !\u5|Div0|auto_generated|divider|divider|StageOut[84]~155_combout\ # 
-- !\u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[4]~5\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0001111000011111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[84]~543_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[84]~155_combout\,
        datad => VCC,
        cin => \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[4]~5\,
        combout => \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[5]~6_combout\,
        cout => \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[5]~7\);

\u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[7]~11\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[7]~11_cout\ = CARRY(!\u5|Div0|auto_generated|divider|divider|StageOut[86]~153_combout\ & !\u5|Div0|auto_generated|divider|divider|StageOut[86]~541_combout\ & 
-- !\u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[6]~9\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000000000001",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[86]~153_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[86]~541_combout\,
        datad => VCC,
        cin => \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[6]~9\,
        cout => \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[7]~11_cout\);

\u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[7]~11_cout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000011110000",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        cin => \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[7]~11_cout\,
        combout => \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[94]~545\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[94]~545_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\ & (\u5|Div0|auto_generated|divider|divider|StageOut[85]~542_combout\ # 
-- !\u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\ & \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[5]~6_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1011101000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[85]~542_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\,
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[5]~6_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[94]~545_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[75]~540\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[75]~540_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\ & (\u5|Div0|auto_generated|divider|divider|StageOut[66]~582_combout\ # 
-- !\u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\ & \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[2]~0_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1011101000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[66]~582_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[8]~12_combout\,
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_8_result_int[2]~0_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[75]~540_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[84]~543\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[84]~543_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\ & (\u5|Div0|auto_generated|divider|divider|StageOut[75]~540_combout\ # 
-- \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[3]~2_combout\ & !\u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111001000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[3]~2_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\,
        datac => \u5|Div0|auto_generated|divider|divider|StageOut[75]~540_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[84]~543_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[93]~546\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[93]~546_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\ & (\u5|Div0|auto_generated|divider|divider|StageOut[84]~543_combout\ # 
-- !\u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\ & \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[4]~4_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1101110000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[84]~543_combout\,
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[4]~4_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[93]~546_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[92]~139\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[92]~139_combout\ = !\u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\ & \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[4]~4_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[4]~4_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[92]~139_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[91]~140\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[91]~140_combout\ = !\u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\ & \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[3]~2_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[3]~2_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[91]~140_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[90]~585\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[90]~585_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\ & (\u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\ & 
-- (\u5|Mult0|auto_generated|mac_out2~DATAOUT10\) # !\u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\ & \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[1]~14_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100000010100000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[1]~14_combout\,
        datab => \u5|Mult0|auto_generated|mac_out2~DATAOUT10\,
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[90]~585_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[80]~159\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[80]~159_combout\ = \u5|Mult0|auto_generated|mac_out2~DATAOUT9\ & !\u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000010101010",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Mult0|auto_generated|mac_out2~DATAOUT9\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[80]~159_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[80]~151\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[80]~151_combout\ = \u5|Mult0|auto_generated|mac_out2~DATAOUT9\ & \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010101000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Mult0|auto_generated|mac_out2~DATAOUT9\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[80]~151_combout\);

\u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[1]~14\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[1]~14_combout\ = \u5|Div0|auto_generated|divider|divider|StageOut[80]~159_combout\ # \u5|Div0|auto_generated|divider|divider|StageOut[80]~151_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|StageOut[80]~159_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|StageOut[80]~151_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[1]~14_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[89]~142\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[89]~142_combout\ = !\u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\ & \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[1]~14_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[1]~14_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[89]~142_combout\);

\u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[3]~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[3]~2_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[2]~1\ & (\u5|Div0|auto_generated|divider|divider|StageOut[90]~141_combout\ # 
-- \u5|Div0|auto_generated|divider|divider|StageOut[90]~585_combout\) # !\u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[2]~1\ & !\u5|Div0|auto_generated|divider|divider|StageOut[90]~141_combout\ & 
-- !\u5|Div0|auto_generated|divider|divider|StageOut[90]~585_combout\
-- \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[3]~3\ = CARRY(!\u5|Div0|auto_generated|divider|divider|StageOut[90]~141_combout\ & !\u5|Div0|auto_generated|divider|divider|StageOut[90]~585_combout\ & 
-- !\u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[2]~1\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1110000100000001",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[90]~141_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[90]~585_combout\,
        datad => VCC,
        cin => \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[2]~1\,
        combout => \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[3]~2_combout\,
        cout => \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[3]~3\);

\u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[4]~4\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[4]~4_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[3]~3\ & ((\u5|Div0|auto_generated|divider|divider|StageOut[91]~548_combout\ # 
-- \u5|Div0|auto_generated|divider|divider|StageOut[91]~140_combout\)) # !\u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[3]~3\ & (\u5|Div0|auto_generated|divider|divider|StageOut[91]~548_combout\ # 
-- \u5|Div0|auto_generated|divider|divider|StageOut[91]~140_combout\ # GND)
-- \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[4]~5\ = CARRY(\u5|Div0|auto_generated|divider|divider|StageOut[91]~548_combout\ # \u5|Div0|auto_generated|divider|divider|StageOut[91]~140_combout\ # 
-- !\u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[3]~3\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0001111011101111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[91]~548_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[91]~140_combout\,
        datad => VCC,
        cin => \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[3]~3\,
        combout => \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[4]~4_combout\,
        cout => \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[4]~5\);

\u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[7]~11\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[7]~11_cout\ = CARRY(!\u5|Div0|auto_generated|divider|divider|StageOut[94]~137_combout\ & !\u5|Div0|auto_generated|divider|divider|StageOut[94]~545_combout\ & 
-- !\u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[6]~9\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000000000001",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[94]~137_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[94]~545_combout\,
        datad => VCC,
        cin => \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[6]~9\,
        cout => \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[7]~11_cout\);

\u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[7]~11_cout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000011110000",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        cin => \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[7]~11_cout\,
        combout => \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[102]~549\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[102]~549_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\ & (\u5|Div0|auto_generated|divider|divider|StageOut[93]~546_combout\ # 
-- !\u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\ & \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[5]~6_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1011101000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[93]~546_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\,
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[5]~6_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[102]~549_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[92]~547\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[92]~547_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\ & (\u5|Div0|auto_generated|divider|divider|StageOut[83]~544_combout\ # 
-- !\u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\ & \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[3]~2_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1011101000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[83]~544_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[8]~12_combout\,
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_10_result_int[3]~2_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[92]~547_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[101]~550\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[101]~550_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\ & (\u5|Div0|auto_generated|divider|divider|StageOut[92]~547_combout\ # 
-- !\u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\ & \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[4]~4_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1101110000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[92]~547_combout\,
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[4]~4_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[101]~550_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[100]~123\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[100]~123_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[4]~4_combout\ & !\u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[4]~4_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[100]~123_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[99]~552\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[99]~552_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\ & (\u5|Div0|auto_generated|divider|divider|StageOut[90]~585_combout\ # 
-- !\u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\ & \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[2]~0_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000001000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[2]~0_combout\,
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|StageOut[90]~585_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[99]~552_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[98]~586\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[98]~586_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\ & (\u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\ & 
-- \u5|Mult0|auto_generated|mac_out2~DATAOUT9\ # !\u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\ & (\u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[1]~14_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1101000010000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\,
        datab => \u5|Mult0|auto_generated|mac_out2~DATAOUT9\,
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[1]~14_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[98]~586_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[88]~143\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[88]~143_combout\ = !\u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\ & \u5|Mult0|auto_generated|mac_out2~DATAOUT8\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\,
        datad => \u5|Mult0|auto_generated|mac_out2~DATAOUT8\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[88]~143_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[88]~135\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[88]~135_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\ & \u5|Mult0|auto_generated|mac_out2~DATAOUT8\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\,
        datad => \u5|Mult0|auto_generated|mac_out2~DATAOUT8\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[88]~135_combout\);

\u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[1]~14\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[1]~14_combout\ = \u5|Div0|auto_generated|divider|divider|StageOut[88]~143_combout\ # \u5|Div0|auto_generated|divider|divider|StageOut[88]~135_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|StageOut[88]~143_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|StageOut[88]~135_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[1]~14_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[97]~126\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[97]~126_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[1]~14_combout\ & !\u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[1]~14_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[97]~126_combout\);

\u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[2]~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[2]~0_combout\ = (\u5|Div0|auto_generated|divider|divider|StageOut[97]~118_combout\ # \u5|Div0|auto_generated|divider|divider|StageOut[97]~126_combout\)
-- \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[2]~1\ = CARRY(\u5|Div0|auto_generated|divider|divider|StageOut[97]~118_combout\ # \u5|Div0|auto_generated|divider|divider|StageOut[97]~126_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0001000111101110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[97]~118_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[97]~126_combout\,
        datad => VCC,
        combout => \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[2]~0_combout\,
        cout => \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[2]~1\);

\u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[3]~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[3]~2_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[2]~1\ & (\u5|Div0|auto_generated|divider|divider|StageOut[98]~125_combout\ # 
-- \u5|Div0|auto_generated|divider|divider|StageOut[98]~586_combout\) # !\u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[2]~1\ & !\u5|Div0|auto_generated|divider|divider|StageOut[98]~125_combout\ & 
-- !\u5|Div0|auto_generated|divider|divider|StageOut[98]~586_combout\
-- \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[3]~3\ = CARRY(!\u5|Div0|auto_generated|divider|divider|StageOut[98]~125_combout\ & !\u5|Div0|auto_generated|divider|divider|StageOut[98]~586_combout\ & 
-- !\u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[2]~1\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1110000100000001",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[98]~125_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[98]~586_combout\,
        datad => VCC,
        cin => \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[2]~1\,
        combout => \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[3]~2_combout\,
        cout => \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[3]~3\);

\u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[4]~4\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[4]~4_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[3]~3\ & ((\u5|Div0|auto_generated|divider|divider|StageOut[99]~124_combout\ # 
-- \u5|Div0|auto_generated|divider|divider|StageOut[99]~552_combout\)) # !\u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[3]~3\ & (\u5|Div0|auto_generated|divider|divider|StageOut[99]~124_combout\ # 
-- \u5|Div0|auto_generated|divider|divider|StageOut[99]~552_combout\ # GND)
-- \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[4]~5\ = CARRY(\u5|Div0|auto_generated|divider|divider|StageOut[99]~124_combout\ # \u5|Div0|auto_generated|divider|divider|StageOut[99]~552_combout\ # 
-- !\u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[3]~3\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0001111011101111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[99]~124_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[99]~552_combout\,
        datad => VCC,
        cin => \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[3]~3\,
        combout => \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[4]~4_combout\,
        cout => \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[4]~5\);

\u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[5]~6\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[5]~6_combout\ = \u5|Div0|auto_generated|divider|divider|StageOut[100]~551_combout\ & (!\u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[4]~5\) # 
-- !\u5|Div0|auto_generated|divider|divider|StageOut[100]~551_combout\ & (\u5|Div0|auto_generated|divider|divider|StageOut[100]~123_combout\ & !\u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[4]~5\ # 
-- !\u5|Div0|auto_generated|divider|divider|StageOut[100]~123_combout\ & (\u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[4]~5\ # GND))
-- \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[5]~7\ = CARRY(!\u5|Div0|auto_generated|divider|divider|StageOut[100]~551_combout\ & !\u5|Div0|auto_generated|divider|divider|StageOut[100]~123_combout\ # 
-- !\u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[4]~5\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0001111000011111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[100]~551_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[100]~123_combout\,
        datad => VCC,
        cin => \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[4]~5\,
        combout => \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[5]~6_combout\,
        cout => \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[5]~7\);

\u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[7]~11\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[7]~11_cout\ = CARRY(!\u5|Div0|auto_generated|divider|divider|StageOut[102]~121_combout\ & !\u5|Div0|auto_generated|divider|divider|StageOut[102]~549_combout\ & 
-- !\u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[6]~9\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000000000001",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[102]~121_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[102]~549_combout\,
        datad => VCC,
        cin => \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[6]~9\,
        cout => \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[7]~11_cout\);

\u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[7]~11_cout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000011110000",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        cin => \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[7]~11_cout\,
        combout => \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[110]~553\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[110]~553_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\ & (\u5|Div0|auto_generated|divider|divider|StageOut[101]~550_combout\ # 
-- \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[5]~6_combout\ & !\u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000100000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[5]~6_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\,
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|StageOut[101]~550_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[110]~553_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[109]~106\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[109]~106_combout\ = !\u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\ & \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[5]~6_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[5]~6_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[109]~106_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[108]~107\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[108]~107_combout\ = !\u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\ & \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[4]~4_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[4]~4_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[108]~107_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[107]~108\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[107]~108_combout\ = !\u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\ & \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[3]~2_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[3]~2_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[107]~108_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[106]~587\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[106]~587_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\ & (\u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\ & 
-- \u5|Mult0|auto_generated|mac_out2~DATAOUT8\ # !\u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\ & (\u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[1]~14_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010000011000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Mult0|auto_generated|mac_out2~DATAOUT8\,
        datab => \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[1]~14_combout\,
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[106]~587_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[105]~102\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[105]~102_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\ & \u5|Mult0|auto_generated|mac_out2~DATAOUT7\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\,
        datad => \u5|Mult0|auto_generated|mac_out2~DATAOUT7\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[105]~102_combout\);

\u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[2]~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[2]~0_combout\ = (\u5|Div0|auto_generated|divider|divider|StageOut[105]~110_combout\ # \u5|Div0|auto_generated|divider|divider|StageOut[105]~102_combout\)
-- \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[2]~1\ = CARRY(\u5|Div0|auto_generated|divider|divider|StageOut[105]~110_combout\ # \u5|Div0|auto_generated|divider|divider|StageOut[105]~102_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0001000111101110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[105]~110_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[105]~102_combout\,
        datad => VCC,
        combout => \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[2]~0_combout\,
        cout => \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[2]~1\);

\u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[3]~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[3]~2_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[2]~1\ & (\u5|Div0|auto_generated|divider|divider|StageOut[106]~109_combout\ # 
-- \u5|Div0|auto_generated|divider|divider|StageOut[106]~587_combout\) # !\u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[2]~1\ & !\u5|Div0|auto_generated|divider|divider|StageOut[106]~109_combout\ & 
-- !\u5|Div0|auto_generated|divider|divider|StageOut[106]~587_combout\
-- \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[3]~3\ = CARRY(!\u5|Div0|auto_generated|divider|divider|StageOut[106]~109_combout\ & !\u5|Div0|auto_generated|divider|divider|StageOut[106]~587_combout\ & 
-- !\u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[2]~1\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1110000100000001",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[106]~109_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[106]~587_combout\,
        datad => VCC,
        cin => \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[2]~1\,
        combout => \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[3]~2_combout\,
        cout => \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[3]~3\);

\u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[5]~6\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[5]~6_combout\ = \u5|Div0|auto_generated|divider|divider|StageOut[108]~555_combout\ & (!\u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[4]~5\) # 
-- !\u5|Div0|auto_generated|divider|divider|StageOut[108]~555_combout\ & (\u5|Div0|auto_generated|divider|divider|StageOut[108]~107_combout\ & !\u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[4]~5\ # 
-- !\u5|Div0|auto_generated|divider|divider|StageOut[108]~107_combout\ & (\u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[4]~5\ # GND))
-- \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[5]~7\ = CARRY(!\u5|Div0|auto_generated|divider|divider|StageOut[108]~555_combout\ & !\u5|Div0|auto_generated|divider|divider|StageOut[108]~107_combout\ # 
-- !\u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[4]~5\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0001111000011111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[108]~555_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[108]~107_combout\,
        datad => VCC,
        cin => \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[4]~5\,
        combout => \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[5]~6_combout\,
        cout => \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[5]~7\);

\u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[6]~8\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[6]~8_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[5]~7\ & (\u5|Div0|auto_generated|divider|divider|StageOut[109]~554_combout\ # 
-- \u5|Div0|auto_generated|divider|divider|StageOut[109]~106_combout\) # !\u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[5]~7\ & ((\u5|Div0|auto_generated|divider|divider|StageOut[109]~554_combout\ # 
-- \u5|Div0|auto_generated|divider|divider|StageOut[109]~106_combout\))
-- \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[6]~9\ = CARRY(!\u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[5]~7\ & (\u5|Div0|auto_generated|divider|divider|StageOut[109]~554_combout\ # 
-- \u5|Div0|auto_generated|divider|divider|StageOut[109]~106_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1110000100001110",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[109]~554_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[109]~106_combout\,
        datad => VCC,
        cin => \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[5]~7\,
        combout => \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[6]~8_combout\,
        cout => \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[6]~9\);

\u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[7]~11\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[7]~11_cout\ = CARRY(!\u5|Div0|auto_generated|divider|divider|StageOut[110]~105_combout\ & !\u5|Div0|auto_generated|divider|divider|StageOut[110]~553_combout\ & 
-- !\u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[6]~9\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000000000001",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[110]~105_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[110]~553_combout\,
        datad => VCC,
        cin => \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[6]~9\,
        cout => \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[7]~11_cout\);

\u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[7]~11_cout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000011110000",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        cin => \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[7]~11_cout\,
        combout => \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[108]~555\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[108]~555_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\ & (\u5|Div0|auto_generated|divider|divider|StageOut[99]~552_combout\ # 
-- !\u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\ & \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[3]~2_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1101110000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[8]~12_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[99]~552_combout\,
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_12_result_int[3]~2_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[108]~555_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[117]~558\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[117]~558_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\ & (\u5|Div0|auto_generated|divider|divider|StageOut[108]~555_combout\ # 
-- \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[4]~4_combout\ & !\u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111001000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[4]~4_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\,
        datac => \u5|Div0|auto_generated|divider|divider|StageOut[108]~555_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[117]~558_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[118]~89\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[118]~89_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[6]~8_combout\ & !\u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[6]~8_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[118]~89_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[117]~90\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[117]~90_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[5]~6_combout\ & !\u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011001100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[5]~6_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[117]~90_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[116]~559\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[116]~559_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\ & (\u5|Div0|auto_generated|divider|divider|StageOut[107]~556_combout\ # 
-- !\u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\ & \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[3]~2_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1011101000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[107]~556_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\,
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[3]~2_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[116]~559_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[115]~560\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[115]~560_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\ & (\u5|Div0|auto_generated|divider|divider|StageOut[106]~587_combout\ # 
-- !\u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\ & \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[2]~0_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111010000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[2]~0_combout\,
        datac => \u5|Div0|auto_generated|divider|divider|StageOut[106]~587_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[115]~560_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[114]~93\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[114]~93_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[2]~0_combout\ & !\u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[2]~0_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[114]~93_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[104]~111\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[104]~111_combout\ = \u5|Mult0|auto_generated|mac_out2~DATAOUT6\ & !\u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Mult0|auto_generated|mac_out2~DATAOUT6\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[104]~111_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[104]~103\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[104]~103_combout\ = \u5|Mult0|auto_generated|mac_out2~DATAOUT6\ & \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Mult0|auto_generated|mac_out2~DATAOUT6\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_13_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[104]~103_combout\);

\u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[1]~14\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[1]~14_combout\ = \u5|Div0|auto_generated|divider|divider|StageOut[104]~111_combout\ # \u5|Div0|auto_generated|divider|divider|StageOut[104]~103_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|StageOut[104]~111_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|StageOut[104]~103_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[1]~14_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[113]~94\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[113]~94_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[1]~14_combout\ & !\u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[1]~14_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[113]~94_combout\);

\u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[2]~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[2]~0_combout\ = (\u5|Div0|auto_generated|divider|divider|StageOut[113]~86_combout\ # \u5|Div0|auto_generated|divider|divider|StageOut[113]~94_combout\)
-- \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[2]~1\ = CARRY(\u5|Div0|auto_generated|divider|divider|StageOut[113]~86_combout\ # \u5|Div0|auto_generated|divider|divider|StageOut[113]~94_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0001000111101110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[113]~86_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[113]~94_combout\,
        datad => VCC,
        combout => \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[2]~0_combout\,
        cout => \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[2]~1\);

\u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[3]~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[3]~2_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[2]~1\ & (\u5|Div0|auto_generated|divider|divider|StageOut[114]~588_combout\ # 
-- \u5|Div0|auto_generated|divider|divider|StageOut[114]~93_combout\) # !\u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[2]~1\ & !\u5|Div0|auto_generated|divider|divider|StageOut[114]~588_combout\ & 
-- !\u5|Div0|auto_generated|divider|divider|StageOut[114]~93_combout\
-- \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[3]~3\ = CARRY(!\u5|Div0|auto_generated|divider|divider|StageOut[114]~588_combout\ & !\u5|Div0|auto_generated|divider|divider|StageOut[114]~93_combout\ & 
-- !\u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[2]~1\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1110000100000001",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[114]~588_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[114]~93_combout\,
        datad => VCC,
        cin => \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[2]~1\,
        combout => \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[3]~2_combout\,
        cout => \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[3]~3\);

\u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[5]~6\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[5]~6_combout\ = \u5|Div0|auto_generated|divider|divider|StageOut[116]~91_combout\ & (!\u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[4]~5\) # 
-- !\u5|Div0|auto_generated|divider|divider|StageOut[116]~91_combout\ & (\u5|Div0|auto_generated|divider|divider|StageOut[116]~559_combout\ & !\u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[4]~5\ # 
-- !\u5|Div0|auto_generated|divider|divider|StageOut[116]~559_combout\ & (\u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[4]~5\ # GND))
-- \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[5]~7\ = CARRY(!\u5|Div0|auto_generated|divider|divider|StageOut[116]~91_combout\ & !\u5|Div0|auto_generated|divider|divider|StageOut[116]~559_combout\ # 
-- !\u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[4]~5\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0001111000011111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[116]~91_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[116]~559_combout\,
        datad => VCC,
        cin => \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[4]~5\,
        combout => \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[5]~6_combout\,
        cout => \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[5]~7\);

\u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[7]~11\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[7]~11_cout\ = CARRY(!\u5|Div0|auto_generated|divider|divider|StageOut[118]~557_combout\ & !\u5|Div0|auto_generated|divider|divider|StageOut[118]~89_combout\ & 
-- !\u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[6]~9\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000000000001",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[118]~557_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[118]~89_combout\,
        datad => VCC,
        cin => \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[6]~9\,
        cout => \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[7]~11_cout\);

\u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[7]~11_cout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000011110000",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        cin => \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[7]~11_cout\,
        combout => \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[126]~561\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[126]~561_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\ & (\u5|Div0|auto_generated|divider|divider|StageOut[117]~558_combout\ # 
-- \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[5]~6_combout\ & !\u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111001000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[5]~6_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\,
        datac => \u5|Div0|auto_generated|divider|divider|StageOut[117]~558_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[126]~561_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[125]~74\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[125]~74_combout\ = !\u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\ & \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[5]~6_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[5]~6_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[125]~74_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[124]~563\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[124]~563_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\ & (\u5|Div0|auto_generated|divider|divider|StageOut[115]~560_combout\ # 
-- \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[3]~2_combout\ & !\u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010111000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[115]~560_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[3]~2_combout\,
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_14_result_int[8]~12_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[124]~563_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[123]~76\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[123]~76_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[3]~2_combout\ & !\u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011001100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[3]~2_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[123]~76_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[122]~77\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[122]~77_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[2]~0_combout\ & !\u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011001100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[2]~0_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[122]~77_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[121]~70\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[121]~70_combout\ = \u5|Mult0|auto_generated|mac_out2~DATAOUT5\ & \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Mult0|auto_generated|mac_out2~DATAOUT5\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[121]~70_combout\);

\u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[2]~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[2]~0_combout\ = (\u5|Div0|auto_generated|divider|divider|StageOut[121]~78_combout\ # \u5|Div0|auto_generated|divider|divider|StageOut[121]~70_combout\)
-- \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[2]~1\ = CARRY(\u5|Div0|auto_generated|divider|divider|StageOut[121]~78_combout\ # \u5|Div0|auto_generated|divider|divider|StageOut[121]~70_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0001000111101110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[121]~78_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[121]~70_combout\,
        datad => VCC,
        combout => \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[2]~0_combout\,
        cout => \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[2]~1\);

\u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[3]~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[3]~2_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[2]~1\ & (\u5|Div0|auto_generated|divider|divider|StageOut[122]~589_combout\ # 
-- \u5|Div0|auto_generated|divider|divider|StageOut[122]~77_combout\) # !\u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[2]~1\ & !\u5|Div0|auto_generated|divider|divider|StageOut[122]~589_combout\ & 
-- !\u5|Div0|auto_generated|divider|divider|StageOut[122]~77_combout\
-- \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[3]~3\ = CARRY(!\u5|Div0|auto_generated|divider|divider|StageOut[122]~589_combout\ & !\u5|Div0|auto_generated|divider|divider|StageOut[122]~77_combout\ & 
-- !\u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[2]~1\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1110000100000001",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[122]~589_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[122]~77_combout\,
        datad => VCC,
        cin => \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[2]~1\,
        combout => \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[3]~2_combout\,
        cout => \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[3]~3\);

\u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[4]~4\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[4]~4_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[3]~3\ & ((\u5|Div0|auto_generated|divider|divider|StageOut[123]~564_combout\ # 
-- \u5|Div0|auto_generated|divider|divider|StageOut[123]~76_combout\)) # !\u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[3]~3\ & (\u5|Div0|auto_generated|divider|divider|StageOut[123]~564_combout\ # 
-- \u5|Div0|auto_generated|divider|divider|StageOut[123]~76_combout\ # GND)
-- \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[4]~5\ = CARRY(\u5|Div0|auto_generated|divider|divider|StageOut[123]~564_combout\ # \u5|Div0|auto_generated|divider|divider|StageOut[123]~76_combout\ # 
-- !\u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[3]~3\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0001111011101111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[123]~564_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[123]~76_combout\,
        datad => VCC,
        cin => \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[3]~3\,
        combout => \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[4]~4_combout\,
        cout => \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[4]~5\);

\u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[5]~6\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[5]~6_combout\ = \u5|Div0|auto_generated|divider|divider|StageOut[124]~75_combout\ & (!\u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[4]~5\) # 
-- !\u5|Div0|auto_generated|divider|divider|StageOut[124]~75_combout\ & (\u5|Div0|auto_generated|divider|divider|StageOut[124]~563_combout\ & !\u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[4]~5\ # 
-- !\u5|Div0|auto_generated|divider|divider|StageOut[124]~563_combout\ & (\u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[4]~5\ # GND))
-- \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[5]~7\ = CARRY(!\u5|Div0|auto_generated|divider|divider|StageOut[124]~75_combout\ & !\u5|Div0|auto_generated|divider|divider|StageOut[124]~563_combout\ # 
-- !\u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[4]~5\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0001111000011111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[124]~75_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[124]~563_combout\,
        datad => VCC,
        cin => \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[4]~5\,
        combout => \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[5]~6_combout\,
        cout => \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[5]~7\);

\u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[6]~8\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[6]~8_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[5]~7\ & (\u5|Div0|auto_generated|divider|divider|StageOut[125]~562_combout\ # 
-- \u5|Div0|auto_generated|divider|divider|StageOut[125]~74_combout\) # !\u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[5]~7\ & ((\u5|Div0|auto_generated|divider|divider|StageOut[125]~562_combout\ # 
-- \u5|Div0|auto_generated|divider|divider|StageOut[125]~74_combout\))
-- \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[6]~9\ = CARRY(!\u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[5]~7\ & (\u5|Div0|auto_generated|divider|divider|StageOut[125]~562_combout\ # 
-- \u5|Div0|auto_generated|divider|divider|StageOut[125]~74_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1110000100001110",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[125]~562_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[125]~74_combout\,
        datad => VCC,
        cin => \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[5]~7\,
        combout => \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[6]~8_combout\,
        cout => \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[6]~9\);

\u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[7]~11\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[7]~11_cout\ = CARRY(!\u5|Div0|auto_generated|divider|divider|StageOut[126]~73_combout\ & !\u5|Div0|auto_generated|divider|divider|StageOut[126]~561_combout\ & 
-- !\u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[6]~9\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000000000001",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[126]~73_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[126]~561_combout\,
        datad => VCC,
        cin => \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[6]~9\,
        cout => \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[7]~11_cout\);

\u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[7]~11_cout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000011110000",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        cin => \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[7]~11_cout\,
        combout => \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\);

\u5|PCM_Data_Difference[3]~12\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|PCM_Data_Difference[3]~12_combout\ = !\u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011111111",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\,
        combout => \u5|PCM_Data_Difference[3]~12_combout\);

\u5|PCM_Data_Difference[3]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u5|PCM_Data_Difference[3]~12_combout\,
        ena => \u5|PCM_Data_Difference[0]~1_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u5|PCM_Data_Difference\(3));

\u5|Add2~12\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add2~12_combout\ = \ADPCM_DECODER_DATA_LEFT~regout\ $ \u5|PCM_Data_Difference\(3)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111111110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \ADPCM_DECODER_DATA_LEFT~regout\,
        datad => \u5|PCM_Data_Difference\(3),
        combout => \u5|Add2~12_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[134]~57\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[134]~57_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[6]~8_combout\ & !\u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[6]~8_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[134]~57_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[133]~58\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[133]~58_combout\ = !\u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\ & \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[5]~6_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[5]~6_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[133]~58_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[132]~59\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[132]~59_combout\ = !\u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\ & \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[4]~4_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[4]~4_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[132]~59_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[131]~568\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[131]~568_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\ & (\u5|Div0|auto_generated|divider|divider|StageOut[122]~589_combout\ # 
-- \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[2]~0_combout\ & !\u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010111000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[122]~589_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[2]~0_combout\,
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[131]~568_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[130]~61\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[130]~61_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[2]~0_combout\ & !\u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011001100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[2]~0_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[130]~61_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[129]~54\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[129]~54_combout\ = \u5|Mult0|auto_generated|mac_out2~DATAOUT4\ & \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Mult0|auto_generated|mac_out2~DATAOUT4\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[129]~54_combout\);

\u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[2]~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[2]~0_combout\ = (\u5|Div0|auto_generated|divider|divider|StageOut[129]~62_combout\ # \u5|Div0|auto_generated|divider|divider|StageOut[129]~54_combout\)
-- \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[2]~1\ = CARRY(\u5|Div0|auto_generated|divider|divider|StageOut[129]~62_combout\ # \u5|Div0|auto_generated|divider|divider|StageOut[129]~54_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0001000111101110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[129]~62_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[129]~54_combout\,
        datad => VCC,
        combout => \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[2]~0_combout\,
        cout => \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[2]~1\);

\u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[3]~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[3]~2_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[2]~1\ & (\u5|Div0|auto_generated|divider|divider|StageOut[130]~590_combout\ # 
-- \u5|Div0|auto_generated|divider|divider|StageOut[130]~61_combout\) # !\u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[2]~1\ & !\u5|Div0|auto_generated|divider|divider|StageOut[130]~590_combout\ & 
-- !\u5|Div0|auto_generated|divider|divider|StageOut[130]~61_combout\
-- \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[3]~3\ = CARRY(!\u5|Div0|auto_generated|divider|divider|StageOut[130]~590_combout\ & !\u5|Div0|auto_generated|divider|divider|StageOut[130]~61_combout\ & 
-- !\u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[2]~1\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1110000100000001",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[130]~590_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[130]~61_combout\,
        datad => VCC,
        cin => \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[2]~1\,
        combout => \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[3]~2_combout\,
        cout => \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[3]~3\);

\u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[4]~4\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[4]~4_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[3]~3\ & ((\u5|Div0|auto_generated|divider|divider|StageOut[131]~60_combout\ # 
-- \u5|Div0|auto_generated|divider|divider|StageOut[131]~568_combout\)) # !\u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[3]~3\ & (\u5|Div0|auto_generated|divider|divider|StageOut[131]~60_combout\ # 
-- \u5|Div0|auto_generated|divider|divider|StageOut[131]~568_combout\ # GND)
-- \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[4]~5\ = CARRY(\u5|Div0|auto_generated|divider|divider|StageOut[131]~60_combout\ # \u5|Div0|auto_generated|divider|divider|StageOut[131]~568_combout\ # 
-- !\u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[3]~3\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0001111011101111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[131]~60_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[131]~568_combout\,
        datad => VCC,
        cin => \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[3]~3\,
        combout => \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[4]~4_combout\,
        cout => \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[4]~5\);

\u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[5]~6\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[5]~6_combout\ = \u5|Div0|auto_generated|divider|divider|StageOut[132]~567_combout\ & (!\u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[4]~5\) # 
-- !\u5|Div0|auto_generated|divider|divider|StageOut[132]~567_combout\ & (\u5|Div0|auto_generated|divider|divider|StageOut[132]~59_combout\ & !\u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[4]~5\ # 
-- !\u5|Div0|auto_generated|divider|divider|StageOut[132]~59_combout\ & (\u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[4]~5\ # GND))
-- \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[5]~7\ = CARRY(!\u5|Div0|auto_generated|divider|divider|StageOut[132]~567_combout\ & !\u5|Div0|auto_generated|divider|divider|StageOut[132]~59_combout\ # 
-- !\u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[4]~5\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0001111000011111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[132]~567_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[132]~59_combout\,
        datad => VCC,
        cin => \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[4]~5\,
        combout => \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[5]~6_combout\,
        cout => \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[5]~7\);

\u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[6]~8\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[6]~8_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[5]~7\ & (\u5|Div0|auto_generated|divider|divider|StageOut[133]~566_combout\ # 
-- \u5|Div0|auto_generated|divider|divider|StageOut[133]~58_combout\) # !\u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[5]~7\ & ((\u5|Div0|auto_generated|divider|divider|StageOut[133]~566_combout\ # 
-- \u5|Div0|auto_generated|divider|divider|StageOut[133]~58_combout\))
-- \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[6]~9\ = CARRY(!\u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[5]~7\ & (\u5|Div0|auto_generated|divider|divider|StageOut[133]~566_combout\ # 
-- \u5|Div0|auto_generated|divider|divider|StageOut[133]~58_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1110000100001110",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[133]~566_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[133]~58_combout\,
        datad => VCC,
        cin => \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[5]~7\,
        combout => \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[6]~8_combout\,
        cout => \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[6]~9\);

\u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[7]~11\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[7]~11_cout\ = CARRY(!\u5|Div0|auto_generated|divider|divider|StageOut[134]~565_combout\ & !\u5|Div0|auto_generated|divider|divider|StageOut[134]~57_combout\ & 
-- !\u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[6]~9\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000000000001",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[134]~565_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[134]~57_combout\,
        datad => VCC,
        cin => \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[6]~9\,
        cout => \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[7]~11_cout\);

\u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[7]~11_cout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000011110000",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        cin => \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[7]~11_cout\,
        combout => \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\);

\u5|PCM_Data_Difference[2]~13\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|PCM_Data_Difference[2]~13_combout\ = !\u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011111111",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\,
        combout => \u5|PCM_Data_Difference[2]~13_combout\);

\u5|PCM_Data_Difference[2]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u5|PCM_Data_Difference[2]~13_combout\,
        ena => \u5|PCM_Data_Difference[0]~1_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u5|PCM_Data_Difference\(2));

\u5|Add2~13\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add2~13_combout\ = \ADPCM_DECODER_DATA_LEFT~regout\ $ \u5|PCM_Data_Difference\(2)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110000111100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \ADPCM_DECODER_DATA_LEFT~regout\,
        datac => \u5|PCM_Data_Difference\(2),
        combout => \u5|Add2~13_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[142]~41\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[142]~41_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[6]~8_combout\ & !\u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[6]~8_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[142]~41_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[141]~42\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[141]~42_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[5]~6_combout\ & !\u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011001100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[5]~6_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[141]~42_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[140]~43\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[140]~43_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[4]~4_combout\ & !\u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[4]~4_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[140]~43_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[139]~44\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[139]~44_combout\ = !\u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\ & \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[3]~2_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[3]~2_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[139]~44_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[138]~45\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[138]~45_combout\ = !\u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\ & \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[2]~0_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[2]~0_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[138]~45_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[137]~38\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[137]~38_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\ & \u5|Mult0|auto_generated|mac_out2~DATAOUT3\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\,
        datad => \u5|Mult0|auto_generated|mac_out2~DATAOUT3\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[137]~38_combout\);

\u5|Div0|auto_generated|divider|divider|op_9~25\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|op_9~25_combout\ = \u5|Div0|auto_generated|divider|divider|op_9~24\ & ((\u5|Div0|auto_generated|divider|divider|StageOut[139]~572_combout\ # \u5|Div0|auto_generated|divider|divider|StageOut[139]~44_combout\)) # 
-- !\u5|Div0|auto_generated|divider|divider|op_9~24\ & (\u5|Div0|auto_generated|divider|divider|StageOut[139]~572_combout\ # \u5|Div0|auto_generated|divider|divider|StageOut[139]~44_combout\ # GND)
-- \u5|Div0|auto_generated|divider|divider|op_9~26\ = CARRY(\u5|Div0|auto_generated|divider|divider|StageOut[139]~572_combout\ # \u5|Div0|auto_generated|divider|divider|StageOut[139]~44_combout\ # !\u5|Div0|auto_generated|divider|divider|op_9~24\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0001111011101111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[139]~572_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[139]~44_combout\,
        datad => VCC,
        cin => \u5|Div0|auto_generated|divider|divider|op_9~24\,
        combout => \u5|Div0|auto_generated|divider|divider|op_9~25_combout\,
        cout => \u5|Div0|auto_generated|divider|divider|op_9~26\);

\u5|Div0|auto_generated|divider|divider|op_9~32\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|op_9~32_cout\ = CARRY(!\u5|Div0|auto_generated|divider|divider|StageOut[142]~569_combout\ & !\u5|Div0|auto_generated|divider|divider|StageOut[142]~41_combout\ & !\u5|Div0|auto_generated|divider|divider|op_9~30\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000000000001",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[142]~569_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[142]~41_combout\,
        datad => VCC,
        cin => \u5|Div0|auto_generated|divider|divider|op_9~30\,
        cout => \u5|Div0|auto_generated|divider|divider|op_9~32_cout\);

\u5|Div0|auto_generated|divider|divider|op_9~33\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|op_9~33_combout\ = \u5|Div0|auto_generated|divider|divider|op_9~32_cout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000011110000",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        cin => \u5|Div0|auto_generated|divider|divider|op_9~32_cout\,
        combout => \u5|Div0|auto_generated|divider|divider|op_9~33_combout\);

\u5|PCM_Data_Difference[1]~14\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|PCM_Data_Difference[1]~14_combout\ = !\u5|Div0|auto_generated|divider|divider|op_9~33_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011111111",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datad => \u5|Div0|auto_generated|divider|divider|op_9~33_combout\,
        combout => \u5|PCM_Data_Difference[1]~14_combout\);

\u5|PCM_Data_Difference[1]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u5|PCM_Data_Difference[1]~14_combout\,
        ena => \u5|PCM_Data_Difference[0]~1_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u5|PCM_Data_Difference\(1));

\u5|Add2~14\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add2~14_combout\ = \u5|PCM_Data_Difference\(1) $ \ADPCM_DECODER_DATA_LEFT~regout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011001111001100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u5|PCM_Data_Difference\(1),
        datad => \ADPCM_DECODER_DATA_LEFT~regout\,
        combout => \u5|Add2~14_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[132]~567\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[132]~567_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\ & (\u5|Div0|auto_generated|divider|divider|StageOut[123]~564_combout\ # 
-- \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[3]~2_combout\ & !\u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010111000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[123]~564_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[3]~2_combout\,
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_15_result_int[8]~12_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[132]~567_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[141]~570\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[141]~570_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\ & (\u5|Div0|auto_generated|divider|divider|StageOut[132]~567_combout\ # 
-- !\u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\ & \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[4]~4_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111010000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[4]~4_combout\,
        datac => \u5|Div0|auto_generated|divider|divider|StageOut[132]~567_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[141]~570_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[150]~573\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[150]~573_combout\ = \u5|Div0|auto_generated|divider|divider|op_9~33_combout\ & (\u5|Div0|auto_generated|divider|divider|StageOut[141]~570_combout\ # 
-- !\u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\ & \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[5]~6_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1101110000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[141]~570_combout\,
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[5]~6_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|op_9~33_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[150]~573_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[140]~571\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[140]~571_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\ & (\u5|Div0|auto_generated|divider|divider|StageOut[131]~568_combout\ # 
-- !\u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\ & \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[3]~2_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111010000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[8]~12_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|add_sub_16_result_int[3]~2_combout\,
        datac => \u5|Div0|auto_generated|divider|divider|StageOut[131]~568_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[140]~571_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[149]~574\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[149]~574_combout\ = \u5|Div0|auto_generated|divider|divider|op_9~33_combout\ & (\u5|Div0|auto_generated|divider|divider|StageOut[140]~571_combout\ # 
-- \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[4]~4_combout\ & !\u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111001000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[4]~4_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\,
        datac => \u5|Div0|auto_generated|divider|divider|StageOut[140]~571_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|op_9~33_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[149]~574_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[148]~27\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[148]~27_combout\ = \u5|Div0|auto_generated|divider|divider|op_9~25_combout\ & !\u5|Div0|auto_generated|divider|divider|op_9~33_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|op_9~25_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|op_9~33_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[148]~27_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[147]~28\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[147]~28_combout\ = \u5|Div0|auto_generated|divider|divider|op_9~23_combout\ & !\u5|Div0|auto_generated|divider|divider|op_9~33_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000010101010",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|op_9~23_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|op_9~33_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[147]~28_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[146]~592\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[146]~592_combout\ = \u5|Div0|auto_generated|divider|divider|op_9~33_combout\ & (\u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\ & (\u5|Mult0|auto_generated|mac_out2~DATAOUT3\) # 
-- !\u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\ & \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[1]~14_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100101000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[1]~14_combout\,
        datab => \u5|Mult0|auto_generated|mac_out2~DATAOUT3\,
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_17_result_int[8]~12_combout\,
        datad => \u5|Div0|auto_generated|divider|divider|op_9~33_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[146]~592_combout\);

\u5|Div0|auto_generated|divider|divider|StageOut[145]~22\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|StageOut[145]~22_combout\ = \u5|Mult0|auto_generated|mac_out2~DATAOUT2\ & \u5|Div0|auto_generated|divider|divider|op_9~33_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Mult0|auto_generated|mac_out2~DATAOUT2\,
        datad => \u5|Div0|auto_generated|divider|divider|op_9~33_combout\,
        combout => \u5|Div0|auto_generated|divider|divider|StageOut[145]~22_combout\);

\u5|Div0|auto_generated|divider|divider|add_sub_19_result_int[2]~1\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_19_result_int[2]~1_cout\ = CARRY(\u5|Div0|auto_generated|divider|divider|StageOut[145]~30_combout\ # \u5|Div0|auto_generated|divider|divider|StageOut[145]~22_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011101110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[145]~30_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[145]~22_combout\,
        datad => VCC,
        cout => \u5|Div0|auto_generated|divider|divider|add_sub_19_result_int[2]~1_cout\);

\u5|Div0|auto_generated|divider|divider|add_sub_19_result_int[3]~3\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_19_result_int[3]~3_cout\ = CARRY(!\u5|Div0|auto_generated|divider|divider|StageOut[146]~29_combout\ & !\u5|Div0|auto_generated|divider|divider|StageOut[146]~592_combout\ & 
-- !\u5|Div0|auto_generated|divider|divider|add_sub_19_result_int[2]~1_cout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000000000001",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[146]~29_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[146]~592_combout\,
        datad => VCC,
        cin => \u5|Div0|auto_generated|divider|divider|add_sub_19_result_int[2]~1_cout\,
        cout => \u5|Div0|auto_generated|divider|divider|add_sub_19_result_int[3]~3_cout\);

\u5|Div0|auto_generated|divider|divider|add_sub_19_result_int[4]~5\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_19_result_int[4]~5_cout\ = CARRY(\u5|Div0|auto_generated|divider|divider|StageOut[147]~576_combout\ # \u5|Div0|auto_generated|divider|divider|StageOut[147]~28_combout\ # 
-- !\u5|Div0|auto_generated|divider|divider|add_sub_19_result_int[3]~3_cout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011101111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[147]~576_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[147]~28_combout\,
        datad => VCC,
        cin => \u5|Div0|auto_generated|divider|divider|add_sub_19_result_int[3]~3_cout\,
        cout => \u5|Div0|auto_generated|divider|divider|add_sub_19_result_int[4]~5_cout\);

\u5|Div0|auto_generated|divider|divider|add_sub_19_result_int[5]~7\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_19_result_int[5]~7_cout\ = CARRY(!\u5|Div0|auto_generated|divider|divider|StageOut[148]~575_combout\ & !\u5|Div0|auto_generated|divider|divider|StageOut[148]~27_combout\ # 
-- !\u5|Div0|auto_generated|divider|divider|add_sub_19_result_int[4]~5_cout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000000011111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[148]~575_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[148]~27_combout\,
        datad => VCC,
        cin => \u5|Div0|auto_generated|divider|divider|add_sub_19_result_int[4]~5_cout\,
        cout => \u5|Div0|auto_generated|divider|divider|add_sub_19_result_int[5]~7_cout\);

\u5|Div0|auto_generated|divider|divider|add_sub_19_result_int[6]~9\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_19_result_int[6]~9_cout\ = CARRY(!\u5|Div0|auto_generated|divider|divider|add_sub_19_result_int[5]~7_cout\ & (\u5|Div0|auto_generated|divider|divider|StageOut[149]~26_combout\ # 
-- \u5|Div0|auto_generated|divider|divider|StageOut[149]~574_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000000001110",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[149]~26_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[149]~574_combout\,
        datad => VCC,
        cin => \u5|Div0|auto_generated|divider|divider|add_sub_19_result_int[5]~7_cout\,
        cout => \u5|Div0|auto_generated|divider|divider|add_sub_19_result_int[6]~9_cout\);

\u5|Div0|auto_generated|divider|divider|add_sub_19_result_int[7]~11\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_19_result_int[7]~11_cout\ = CARRY(!\u5|Div0|auto_generated|divider|divider|StageOut[150]~25_combout\ & !\u5|Div0|auto_generated|divider|divider|StageOut[150]~573_combout\ & 
-- !\u5|Div0|auto_generated|divider|divider|add_sub_19_result_int[6]~9_cout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000000000001",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Div0|auto_generated|divider|divider|StageOut[150]~25_combout\,
        datab => \u5|Div0|auto_generated|divider|divider|StageOut[150]~573_combout\,
        datad => VCC,
        cin => \u5|Div0|auto_generated|divider|divider|add_sub_19_result_int[6]~9_cout\,
        cout => \u5|Div0|auto_generated|divider|divider|add_sub_19_result_int[7]~11_cout\);

\u5|Div0|auto_generated|divider|divider|add_sub_19_result_int[8]~12\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Div0|auto_generated|divider|divider|add_sub_19_result_int[8]~12_combout\ = \u5|Div0|auto_generated|divider|divider|add_sub_19_result_int[7]~11_cout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000011110000",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        cin => \u5|Div0|auto_generated|divider|divider|add_sub_19_result_int[7]~11_cout\,
        combout => \u5|Div0|auto_generated|divider|divider|add_sub_19_result_int[8]~12_combout\);

\u5|PCM_Data_Difference[0]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u5|Div0|auto_generated|divider|divider|add_sub_19_result_int[8]~12_combout\,
        ena => \u5|PCM_Data_Difference[0]~1_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u5|PCM_Data_Difference\(0));

\u5|Add2~15\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add2~15_combout\ = \ADPCM_DECODER_DATA_LEFT~regout\ $ !\u5|PCM_Data_Difference\(0)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000001111",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \ADPCM_DECODER_DATA_LEFT~regout\,
        datad => \u5|PCM_Data_Difference\(0),
        combout => \u5|Add2~15_combout\);

\u5|Add2~17\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add2~17_cout\ = CARRY(\ADPCM_DECODER_DATA_LEFT~regout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011001100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \ADPCM_DECODER_DATA_LEFT~regout\,
        datad => VCC,
        cout => \u5|Add2~17_cout\);

\u5|Add2~18\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add2~18_combout\ = \u5|Last_PCM_Data\(0) & (\u5|Add2~15_combout\ & !\u5|Add2~17_cout\ # !\u5|Add2~15_combout\ & (\u5|Add2~17_cout\ # GND)) # !\u5|Last_PCM_Data\(0) & (\u5|Add2~15_combout\ & \u5|Add2~17_cout\ & VCC # !\u5|Add2~15_combout\ & 
-- !\u5|Add2~17_cout\)
-- \u5|Add2~19\ = CARRY(\u5|Last_PCM_Data\(0) & (!\u5|Add2~17_cout\ # !\u5|Add2~15_combout\) # !\u5|Last_PCM_Data\(0) & !\u5|Add2~15_combout\ & !\u5|Add2~17_cout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0110100100101011",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Last_PCM_Data\(0),
        datab => \u5|Add2~15_combout\,
        datad => VCC,
        cin => \u5|Add2~17_cout\,
        combout => \u5|Add2~18_combout\,
        cout => \u5|Add2~19\);

\u5|Add2~20\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add2~20_combout\ = (\u5|Last_PCM_Data\(1) $ \u5|Add2~14_combout\ $ !\u5|Add2~19\) # GND
-- \u5|Add2~21\ = CARRY(\u5|Last_PCM_Data\(1) & (\u5|Add2~14_combout\ # !\u5|Add2~19\) # !\u5|Last_PCM_Data\(1) & \u5|Add2~14_combout\ & !\u5|Add2~19\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0110100110001110",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Last_PCM_Data\(1),
        datab => \u5|Add2~14_combout\,
        datad => VCC,
        cin => \u5|Add2~19\,
        combout => \u5|Add2~20_combout\,
        cout => \u5|Add2~21\);

\u5|Add2~22\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add2~22_combout\ = \u5|Last_PCM_Data\(2) & (\u5|Add2~13_combout\ & \u5|Add2~21\ & VCC # !\u5|Add2~13_combout\ & !\u5|Add2~21\) # !\u5|Last_PCM_Data\(2) & (\u5|Add2~13_combout\ & !\u5|Add2~21\ # !\u5|Add2~13_combout\ & (\u5|Add2~21\ # GND))
-- \u5|Add2~23\ = CARRY(\u5|Last_PCM_Data\(2) & !\u5|Add2~13_combout\ & !\u5|Add2~21\ # !\u5|Last_PCM_Data\(2) & (!\u5|Add2~21\ # !\u5|Add2~13_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1001011000010111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Last_PCM_Data\(2),
        datab => \u5|Add2~13_combout\,
        datad => VCC,
        cin => \u5|Add2~21\,
        combout => \u5|Add2~22_combout\,
        cout => \u5|Add2~23\);

\u5|Add2~24\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add2~24_combout\ = (\u5|Last_PCM_Data\(3) $ \u5|Add2~12_combout\ $ !\u5|Add2~23\) # GND
-- \u5|Add2~25\ = CARRY(\u5|Last_PCM_Data\(3) & (\u5|Add2~12_combout\ # !\u5|Add2~23\) # !\u5|Last_PCM_Data\(3) & \u5|Add2~12_combout\ & !\u5|Add2~23\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0110100110001110",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Last_PCM_Data\(3),
        datab => \u5|Add2~12_combout\,
        datad => VCC,
        cin => \u5|Add2~23\,
        combout => \u5|Add2~24_combout\,
        cout => \u5|Add2~25\);

\u5|Last_PCM_Data[3]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u5|Add2~24_combout\,
        ena => \u5|Last_PCM_Data[15]~17_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u5|Last_PCM_Data\(3));

\u5|PCM_Data[3]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u5|Mux57~0_combout\,
        sdata => \u5|Last_PCM_Data\(3),
        sload => \u6|ADPCM_Decoder_State_Counter\(0),
        ena => \u5|PCM_Data[15]~182_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u5|PCM_Data\(3));

\u5|Add16~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add16~0_combout\ = \u5|PCM_Data\(3) $ VCC
-- \u5|Add16~1\ = CARRY(\u5|PCM_Data\(3))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011001111001100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u5|PCM_Data\(3),
        datad => VCC,
        combout => \u5|Add16~0_combout\,
        cout => \u5|Add16~1\);

\u5|Add16~3\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add16~3_combout\ = \u5|PCM_Data~158_combout\ & \u5|Add16~1\ & VCC # !\u5|PCM_Data~158_combout\ & !\u5|Add16~1\
-- \u5|Add16~4\ = CARRY(!\u5|PCM_Data~158_combout\ & !\u5|Add16~1\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010010100000101",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|PCM_Data~158_combout\,
        datad => VCC,
        cin => \u5|Add16~1\,
        combout => \u5|Add16~3_combout\,
        cout => \u5|Add16~4\);

\u5|Add12~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add12~0_combout\ = \u5|PCM_Data\(7) $ VCC
-- \u5|Add12~1\ = CARRY(\u5|PCM_Data\(7))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0101010110101010",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|PCM_Data\(7),
        datad => VCC,
        combout => \u5|Add12~0_combout\,
        cout => \u5|Add12~1\);

\u5|Add18~36\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add18~36_combout\ = \u5|Add18~8_combout\ & \u5|LessThan16~5_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010101000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Add18~8_combout\,
        datad => \u5|LessThan16~5_combout\,
        combout => \u5|Add18~36_combout\);

\u5|Add18~40\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add18~40_combout\ = \u5|Add18~6_combout\ & \u5|LessThan16~5_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010101000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Add18~6_combout\,
        datad => \u5|LessThan16~5_combout\,
        combout => \u5|Add18~40_combout\);

\u5|PCM_Data[0]~186\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|PCM_Data[0]~186_combout\ = !\u6|ADPCM_Decoder_State_Counter\(0) & \u5|PCM_Data\(0) & !\u6|ADPCM_Decoder_State_Counter\(1) & \u6|ADPCM_Decoder_State_Counter\(2)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000010000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|ADPCM_Decoder_State_Counter\(0),
        datab => \u5|PCM_Data\(0),
        datac => \u6|ADPCM_Decoder_State_Counter\(1),
        datad => \u6|ADPCM_Decoder_State_Counter\(2),
        combout => \u5|PCM_Data[0]~186_combout\);

\u5|Last_PCM_Data[0]~19\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Last_PCM_Data[0]~19_combout\ = !\u5|Add2~18_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011111111",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datad => \u5|Add2~18_combout\,
        combout => \u5|Last_PCM_Data[0]~19_combout\);

\u5|Last_PCM_Data[0]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u5|Last_PCM_Data[0]~19_combout\,
        ena => \u5|Last_PCM_Data[15]~17_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u5|Last_PCM_Data\(0));

\u5|PCM_Data[0]~184\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|PCM_Data[0]~184_combout\ = \u5|PCM_Data[0]~183_combout\ & (!\u5|PCM_Data[15]~182_combout\ & \u5|PCM_Data\(0) # !\u5|Last_PCM_Data\(0)) # !\u5|PCM_Data[0]~183_combout\ & (!\u5|PCM_Data[15]~182_combout\ & \u5|PCM_Data\(0))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0010111100100010",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|PCM_Data[0]~183_combout\,
        datab => \u5|Last_PCM_Data\(0),
        datac => \u5|PCM_Data[15]~182_combout\,
        datad => \u5|PCM_Data\(0),
        combout => \u5|PCM_Data[0]~184_combout\);

\u5|PCM_Data[0]~187\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|PCM_Data[0]~187_combout\ = \u5|PCM_Data[0]~184_combout\ # \u5|Mux45~4_combout\ & \u5|PCM_Data[0]~185_combout\ # !\u5|Mux45~4_combout\ & (\u5|PCM_Data[0]~186_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111110101100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|PCM_Data[0]~185_combout\,
        datab => \u5|PCM_Data[0]~186_combout\,
        datac => \u5|Mux45~4_combout\,
        datad => \u5|PCM_Data[0]~184_combout\,
        combout => \u5|PCM_Data[0]~187_combout\);

\u5|PCM_Data[0]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u5|PCM_Data[0]~187_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u5|PCM_Data\(0));

\u5|Add19~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add19~2_combout\ = \u5|Add18~3_combout\ & \u5|Add19~1\ & VCC # !\u5|Add18~3_combout\ & !\u5|Add19~1\
-- \u5|Add19~3\ = CARRY(!\u5|Add18~3_combout\ & !\u5|Add19~1\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100001100000011",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u5|Add18~3_combout\,
        datad => VCC,
        cin => \u5|Add19~1\,
        combout => \u5|Add19~2_combout\,
        cout => \u5|Add19~3\);

\u5|Mux59~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Mux59~0_combout\ = \u5|Add19~2_combout\ & \u5|Mux45~4_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100110000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u5|Add19~2_combout\,
        datad => \u5|Mux45~4_combout\,
        combout => \u5|Mux59~0_combout\);

\u5|Last_PCM_Data[1]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u5|Add2~20_combout\,
        ena => \u5|Last_PCM_Data[15]~17_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u5|Last_PCM_Data\(1));

\u5|PCM_Data[1]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u5|Mux59~0_combout\,
        sdata => \u5|Last_PCM_Data\(1),
        sload => \u6|ADPCM_Decoder_State_Counter\(0),
        ena => \u5|PCM_Data[15]~182_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u5|PCM_Data\(1));

\u5|Add18~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add18~0_combout\ = \u5|PCM_Data\(1) $ VCC
-- \u5|Add18~1\ = CARRY(\u5|PCM_Data\(1))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0101010110101010",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|PCM_Data\(1),
        datad => VCC,
        combout => \u5|Add18~0_combout\,
        cout => \u5|Add18~1\);

\u5|Add18~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add18~2_combout\ = \u5|LessThan16~4_combout\ & (\u5|Add18~0_combout\) # !\u5|LessThan16~4_combout\ & (\u5|LessThan16~3_combout\ & (\u5|Add18~0_combout\) # !\u5|LessThan16~3_combout\ & \u5|PCM_Data\(1))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111000000100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|LessThan16~4_combout\,
        datab => \u5|PCM_Data\(1),
        datac => \u5|LessThan16~3_combout\,
        datad => \u5|Add18~0_combout\,
        combout => \u5|Add18~2_combout\);

\u5|Add14~18\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add14~18_combout\ = \u5|Add14~3_combout\ & \u5|LessThan12~3_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010101000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Add14~3_combout\,
        datad => \u5|LessThan12~3_combout\,
        combout => \u5|Add14~18_combout\);

\u5|Add15~4\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add15~4_combout\ = \u5|Add14~18_combout\ & (GND # !\u5|Add15~3\) # !\u5|Add14~18_combout\ & (\u5|Add15~3\ $ GND)
-- \u5|Add15~5\ = CARRY(\u5|Add14~18_combout\ # !\u5|Add15~3\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110011001111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u5|Add14~18_combout\,
        datad => VCC,
        cin => \u5|Add15~3\,
        combout => \u5|Add15~4_combout\,
        cout => \u5|Add15~5\);

\u5|Add15~6\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add15~6_combout\ = \u5|Add14~16_combout\ & \u5|Add15~5\ & VCC # !\u5|Add14~16_combout\ & !\u5|Add15~5\
-- \u5|Add15~7\ = CARRY(!\u5|Add14~16_combout\ & !\u5|Add15~5\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010010100000101",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Add14~16_combout\,
        datad => VCC,
        cin => \u5|Add15~5\,
        combout => \u5|Add15~6_combout\,
        cout => \u5|Add15~7\);

\u5|Add15~8\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add15~8_combout\ = \u5|Add14~17_combout\ & (GND # !\u5|Add15~7\) # !\u5|Add14~17_combout\ & (\u5|Add15~7\ $ GND)
-- \u5|Add15~9\ = CARRY(\u5|Add14~17_combout\ # !\u5|Add15~7\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110011001111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u5|Add14~17_combout\,
        datad => VCC,
        cin => \u5|Add15~7\,
        combout => \u5|Add15~8_combout\,
        cout => \u5|Add15~9\);

\u5|Add15~10\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add15~10_combout\ = \u5|Add14~26_combout\ & \u5|Add15~9\ & VCC # !\u5|Add14~26_combout\ & !\u5|Add15~9\
-- \u5|Add15~11\ = CARRY(!\u5|Add14~26_combout\ & !\u5|Add15~9\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010010100000101",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Add14~26_combout\,
        datad => VCC,
        cin => \u5|Add15~9\,
        combout => \u5|Add15~10_combout\,
        cout => \u5|Add15~11\);

\u5|PCM_Data~165\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|PCM_Data~165_combout\ = \u5|Add15~10_combout\ & \u5|PCM_Data~157_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Add15~10_combout\,
        datad => \u5|PCM_Data~157_combout\,
        combout => \u5|PCM_Data~165_combout\);

\u5|PCM_Data~160\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|PCM_Data~160_combout\ = \u5|PCM_Data~157_combout\ & \u5|Add15~6_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|PCM_Data~157_combout\,
        datad => \u5|Add15~6_combout\,
        combout => \u5|PCM_Data~160_combout\);

\u5|PCM_Data~159\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|PCM_Data~159_combout\ = \u5|PCM_Data~157_combout\ & \u5|Add15~4_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|PCM_Data~157_combout\,
        datad => \u5|Add15~4_combout\,
        combout => \u5|PCM_Data~159_combout\);

\u5|Add16~7\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add16~7_combout\ = \u5|PCM_Data~159_combout\ & \u5|Add16~6\ & VCC # !\u5|PCM_Data~159_combout\ & !\u5|Add16~6\
-- \u5|Add16~8\ = CARRY(!\u5|PCM_Data~159_combout\ & !\u5|Add16~6\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100001100000011",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u5|PCM_Data~159_combout\,
        datad => VCC,
        cin => \u5|Add16~6\,
        combout => \u5|Add16~7_combout\,
        cout => \u5|Add16~8\);

\u5|Add16~11\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add16~11_combout\ = \u5|PCM_Data~161_combout\ & \u5|Add16~10\ & VCC # !\u5|PCM_Data~161_combout\ & !\u5|Add16~10\
-- \u5|Add16~12\ = CARRY(!\u5|PCM_Data~161_combout\ & !\u5|Add16~10\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100001100000011",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u5|PCM_Data~161_combout\,
        datad => VCC,
        cin => \u5|Add16~10\,
        combout => \u5|Add16~11_combout\,
        cout => \u5|Add16~12\);

\u5|Add16~13\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add16~13_combout\ = \u5|PCM_Data~165_combout\ & (GND # !\u5|Add16~12\) # !\u5|PCM_Data~165_combout\ & (\u5|Add16~12\ $ GND)
-- \u5|Add16~14\ = CARRY(\u5|PCM_Data~165_combout\ # !\u5|Add16~12\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110011001111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u5|PCM_Data~165_combout\,
        datad => VCC,
        cin => \u5|Add16~12\,
        combout => \u5|Add16~13_combout\,
        cout => \u5|Add16~14\);

\u5|Add16~15\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add16~15_combout\ = \u5|PCM_Data~162_combout\ & \u5|Add16~14\ & VCC # !\u5|PCM_Data~162_combout\ & !\u5|Add16~14\
-- \u5|Add16~16\ = CARRY(!\u5|PCM_Data~162_combout\ & !\u5|Add16~14\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010010100000101",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|PCM_Data~162_combout\,
        datad => VCC,
        cin => \u5|Add16~14\,
        combout => \u5|Add16~15_combout\,
        cout => \u5|Add16~16\);

\u5|Add16~33\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add16~33_combout\ = \u5|LessThan14~4_combout\ & \u5|Add16~15_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|LessThan14~4_combout\,
        datad => \u5|Add16~15_combout\,
        combout => \u5|Add16~33_combout\);

\u5|Add16~28\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add16~28_combout\ = \u5|LessThan14~4_combout\ & \u5|Add16~7_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|LessThan14~4_combout\,
        datad => \u5|Add16~7_combout\,
        combout => \u5|Add16~28_combout\);

\u5|Add16~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add16~2_combout\ = \u5|LessThan14~4_combout\ & (\u5|Add16~0_combout\) # !\u5|LessThan14~4_combout\ & \u5|PCM_Data\(3)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000011001100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u5|PCM_Data\(3),
        datac => \u5|Add16~0_combout\,
        datad => \u5|LessThan14~4_combout\,
        combout => \u5|Add16~2_combout\);

\u5|Add17~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add17~0_combout\ = \u5|PCM_Data\(2) $ VCC
-- \u5|Add17~1\ = CARRY(\u5|PCM_Data\(2))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011001111001100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u5|PCM_Data\(2),
        datad => VCC,
        combout => \u5|Add17~0_combout\,
        cout => \u5|Add17~1\);

\u5|Add17~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add17~2_combout\ = \u5|Add16~2_combout\ & \u5|Add17~1\ & VCC # !\u5|Add16~2_combout\ & !\u5|Add17~1\
-- \u5|Add17~3\ = CARRY(!\u5|Add16~2_combout\ & !\u5|Add17~1\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100001100000011",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u5|Add16~2_combout\,
        datad => VCC,
        cin => \u5|Add17~1\,
        combout => \u5|Add17~2_combout\,
        cout => \u5|Add17~3\);

\u5|Add17~4\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add17~4_combout\ = \u5|Add16~37_combout\ & (GND # !\u5|Add17~3\) # !\u5|Add16~37_combout\ & (\u5|Add17~3\ $ GND)
-- \u5|Add17~5\ = CARRY(\u5|Add16~37_combout\ # !\u5|Add17~3\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0101101010101111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Add16~37_combout\,
        datad => VCC,
        cin => \u5|Add17~3\,
        combout => \u5|Add17~4_combout\,
        cout => \u5|Add17~5\);

\u5|Add17~8\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add17~8_combout\ = \u5|Add16~28_combout\ & (GND # !\u5|Add17~7\) # !\u5|Add16~28_combout\ & (\u5|Add17~7\ $ GND)
-- \u5|Add17~9\ = CARRY(\u5|Add16~28_combout\ # !\u5|Add17~7\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110011001111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u5|Add16~28_combout\,
        datad => VCC,
        cin => \u5|Add17~7\,
        combout => \u5|Add17~8_combout\,
        cout => \u5|Add17~9\);

\u5|Add17~10\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add17~10_combout\ = \u5|Add16~29_combout\ & \u5|Add17~9\ & VCC # !\u5|Add16~29_combout\ & !\u5|Add17~9\
-- \u5|Add17~11\ = CARRY(!\u5|Add16~29_combout\ & !\u5|Add17~9\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010010100000101",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Add16~29_combout\,
        datad => VCC,
        cin => \u5|Add17~9\,
        combout => \u5|Add17~10_combout\,
        cout => \u5|Add17~11\);

\u5|Add17~12\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add17~12_combout\ = \u5|Add16~36_combout\ & (GND # !\u5|Add17~11\) # !\u5|Add16~36_combout\ & (\u5|Add17~11\ $ GND)
-- \u5|Add17~13\ = CARRY(\u5|Add16~36_combout\ # !\u5|Add17~11\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0101101010101111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Add16~36_combout\,
        datad => VCC,
        cin => \u5|Add17~11\,
        combout => \u5|Add17~12_combout\,
        cout => \u5|Add17~13\);

\u5|Add17~14\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add17~14_combout\ = \u5|Add16~32_combout\ & \u5|Add17~13\ & VCC # !\u5|Add16~32_combout\ & !\u5|Add17~13\
-- \u5|Add17~15\ = CARRY(!\u5|Add16~32_combout\ & !\u5|Add17~13\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010010100000101",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Add16~32_combout\,
        datad => VCC,
        cin => \u5|Add17~13\,
        combout => \u5|Add17~14_combout\,
        cout => \u5|Add17~15\);

\u5|Add17~16\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add17~16_combout\ = \u5|Add16~33_combout\ & (GND # !\u5|Add17~15\) # !\u5|Add16~33_combout\ & (\u5|Add17~15\ $ GND)
-- \u5|Add17~17\ = CARRY(\u5|Add16~33_combout\ # !\u5|Add17~15\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110011001111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u5|Add16~33_combout\,
        datad => VCC,
        cin => \u5|Add17~15\,
        combout => \u5|Add17~16_combout\,
        cout => \u5|Add17~17\);

\u5|PCM_Data~173\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|PCM_Data~173_combout\ = \u5|PCM_Data~171_combout\ & \u5|Add17~16_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|PCM_Data~171_combout\,
        datad => \u5|Add17~16_combout\,
        combout => \u5|PCM_Data~173_combout\);

\u5|PCM_Data~174\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|PCM_Data~174_combout\ = \u5|PCM_Data~171_combout\ & \u5|Add17~2_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|PCM_Data~171_combout\,
        datad => \u5|Add17~2_combout\,
        combout => \u5|PCM_Data~174_combout\);

\u5|PCM_Data~175\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|PCM_Data~175_combout\ = \u5|PCM_Data~171_combout\ & \u5|Add17~4_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100000011000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u5|PCM_Data~171_combout\,
        datac => \u5|Add17~4_combout\,
        combout => \u5|PCM_Data~175_combout\);

\u5|LessThan16~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|LessThan16~2_combout\ = \u5|PCM_Data~176_combout\ # \u5|PCM_Data~173_combout\ # \u5|PCM_Data~174_combout\ # \u5|PCM_Data~175_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111111110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|PCM_Data~176_combout\,
        datab => \u5|PCM_Data~173_combout\,
        datac => \u5|PCM_Data~174_combout\,
        datad => \u5|PCM_Data~175_combout\,
        combout => \u5|LessThan16~2_combout\);

\u5|PCM_Data~180\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|PCM_Data~180_combout\ = \u5|PCM_Data~171_combout\ & \u5|Add17~10_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|PCM_Data~171_combout\,
        datad => \u5|Add17~10_combout\,
        combout => \u5|PCM_Data~180_combout\);

\u5|Add18~4\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add18~4_combout\ = \u5|PCM_Data~172_combout\ & \u5|Add18~1\ & VCC # !\u5|PCM_Data~172_combout\ & !\u5|Add18~1\
-- \u5|Add18~5\ = CARRY(!\u5|PCM_Data~172_combout\ & !\u5|Add18~1\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100001100000011",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u5|PCM_Data~172_combout\,
        datad => VCC,
        cin => \u5|Add18~1\,
        combout => \u5|Add18~4_combout\,
        cout => \u5|Add18~5\);

\u5|Add18~10\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add18~10_combout\ = \u5|PCM_Data~176_combout\ & (GND # !\u5|Add18~9\) # !\u5|PCM_Data~176_combout\ & (\u5|Add18~9\ $ GND)
-- \u5|Add18~11\ = CARRY(\u5|PCM_Data~176_combout\ # !\u5|Add18~9\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0101101010101111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|PCM_Data~176_combout\,
        datad => VCC,
        cin => \u5|Add18~9\,
        combout => \u5|Add18~10_combout\,
        cout => \u5|Add18~11\);

\u5|Add18~12\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add18~12_combout\ = \u5|PCM_Data~181_combout\ & \u5|Add18~11\ & VCC # !\u5|PCM_Data~181_combout\ & !\u5|Add18~11\
-- \u5|Add18~13\ = CARRY(!\u5|PCM_Data~181_combout\ & !\u5|Add18~11\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010010100000101",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|PCM_Data~181_combout\,
        datad => VCC,
        cin => \u5|Add18~11\,
        combout => \u5|Add18~12_combout\,
        cout => \u5|Add18~13\);

\u5|Add18~14\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add18~14_combout\ = \u5|PCM_Data~180_combout\ & (GND # !\u5|Add18~13\) # !\u5|PCM_Data~180_combout\ & (\u5|Add18~13\ $ GND)
-- \u5|Add18~15\ = CARRY(\u5|PCM_Data~180_combout\ # !\u5|Add18~13\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110011001111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u5|PCM_Data~180_combout\,
        datad => VCC,
        cin => \u5|Add18~13\,
        combout => \u5|Add18~14_combout\,
        cout => \u5|Add18~15\);

\u5|Add18~16\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add18~16_combout\ = \u5|PCM_Data~177_combout\ & \u5|Add18~15\ & VCC # !\u5|PCM_Data~177_combout\ & !\u5|Add18~15\
-- \u5|Add18~17\ = CARRY(!\u5|PCM_Data~177_combout\ & !\u5|Add18~15\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010010100000101",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|PCM_Data~177_combout\,
        datad => VCC,
        cin => \u5|Add18~15\,
        combout => \u5|Add18~16_combout\,
        cout => \u5|Add18~17\);

\u5|Add18~42\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add18~42_combout\ = \u5|Add18~16_combout\ & \u5|LessThan16~5_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Add18~16_combout\,
        datad => \u5|LessThan16~5_combout\,
        combout => \u5|Add18~42_combout\);

\u5|Add18~33\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add18~33_combout\ = \u5|Add18~14_combout\ & \u5|LessThan16~5_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Add18~14_combout\,
        datad => \u5|LessThan16~5_combout\,
        combout => \u5|Add18~33_combout\);

\u5|Add19~8\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add19~8_combout\ = \u5|Add18~36_combout\ & (GND # !\u5|Add19~7\) # !\u5|Add18~36_combout\ & (\u5|Add19~7\ $ GND)
-- \u5|Add19~9\ = CARRY(\u5|Add18~36_combout\ # !\u5|Add19~7\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110011001111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u5|Add18~36_combout\,
        datad => VCC,
        cin => \u5|Add19~7\,
        combout => \u5|Add19~8_combout\,
        cout => \u5|Add19~9\);

\u5|Add19~10\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add19~10_combout\ = \u5|Add18~37_combout\ & \u5|Add19~9\ & VCC # !\u5|Add18~37_combout\ & !\u5|Add19~9\
-- \u5|Add19~11\ = CARRY(!\u5|Add18~37_combout\ & !\u5|Add19~9\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100001100000011",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u5|Add18~37_combout\,
        datad => VCC,
        cin => \u5|Add19~9\,
        combout => \u5|Add19~10_combout\,
        cout => \u5|Add19~11\);

\u5|Add19~12\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add19~12_combout\ = \u5|Add18~32_combout\ & (GND # !\u5|Add19~11\) # !\u5|Add18~32_combout\ & (\u5|Add19~11\ $ GND)
-- \u5|Add19~13\ = CARRY(\u5|Add18~32_combout\ # !\u5|Add19~11\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0101101010101111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Add18~32_combout\,
        datad => VCC,
        cin => \u5|Add19~11\,
        combout => \u5|Add19~12_combout\,
        cout => \u5|Add19~13\);

\u5|Add19~16\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add19~16_combout\ = \u5|Add18~42_combout\ & (GND # !\u5|Add19~15\) # !\u5|Add18~42_combout\ & (\u5|Add19~15\ $ GND)
-- \u5|Add19~17\ = CARRY(\u5|Add18~42_combout\ # !\u5|Add19~15\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110011001111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u5|Add18~42_combout\,
        datad => VCC,
        cin => \u5|Add19~15\,
        combout => \u5|Add19~16_combout\,
        cout => \u5|Add19~17\);

\u5|Add19~18\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add19~18_combout\ = \u5|Add18~43_combout\ & \u5|Add19~17\ & VCC # !\u5|Add18~43_combout\ & !\u5|Add19~17\
-- \u5|Add19~19\ = CARRY(!\u5|Add18~43_combout\ & !\u5|Add19~17\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010010100000101",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Add18~43_combout\,
        datad => VCC,
        cin => \u5|Add19~17\,
        combout => \u5|Add19~18_combout\,
        cout => \u5|Add19~19\);

\u5|Mux51~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Mux51~0_combout\ = \u5|Add19~18_combout\ & \u5|Mux45~4_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100110000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u5|Add19~18_combout\,
        datad => \u5|Mux45~4_combout\,
        combout => \u5|Mux51~0_combout\);

\u5|PCM_Data_Difference[8]~7\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|PCM_Data_Difference[8]~7_combout\ = !\u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011111111",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_11_result_int[8]~12_combout\,
        combout => \u5|PCM_Data_Difference[8]~7_combout\);

\u5|PCM_Data_Difference[8]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u5|PCM_Data_Difference[8]~7_combout\,
        ena => \u5|PCM_Data_Difference[0]~1_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u5|PCM_Data_Difference\(8));

\u5|Add2~7\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add2~7_combout\ = \ADPCM_DECODER_DATA_LEFT~regout\ $ \u5|PCM_Data_Difference\(8)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111111110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \ADPCM_DECODER_DATA_LEFT~regout\,
        datad => \u5|PCM_Data_Difference\(8),
        combout => \u5|Add2~7_combout\);

\u5|Add2~26\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add2~26_combout\ = \u5|Add2~11_combout\ & (\u5|Last_PCM_Data\(4) & \u5|Add2~25\ & VCC # !\u5|Last_PCM_Data\(4) & !\u5|Add2~25\) # !\u5|Add2~11_combout\ & (\u5|Last_PCM_Data\(4) & !\u5|Add2~25\ # !\u5|Last_PCM_Data\(4) & (\u5|Add2~25\ # GND))
-- \u5|Add2~27\ = CARRY(\u5|Add2~11_combout\ & !\u5|Last_PCM_Data\(4) & !\u5|Add2~25\ # !\u5|Add2~11_combout\ & (!\u5|Add2~25\ # !\u5|Last_PCM_Data\(4)))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1001011000010111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Add2~11_combout\,
        datab => \u5|Last_PCM_Data\(4),
        datad => VCC,
        cin => \u5|Add2~25\,
        combout => \u5|Add2~26_combout\,
        cout => \u5|Add2~27\);

\u5|Last_PCM_Data[4]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u5|Add2~26_combout\,
        ena => \u5|Last_PCM_Data[15]~17_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u5|Last_PCM_Data\(4));

\u5|Add2~28\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add2~28_combout\ = (\u5|Add2~10_combout\ $ \u5|Last_PCM_Data\(5) $ !\u5|Add2~27\) # GND
-- \u5|Add2~29\ = CARRY(\u5|Add2~10_combout\ & (\u5|Last_PCM_Data\(5) # !\u5|Add2~27\) # !\u5|Add2~10_combout\ & \u5|Last_PCM_Data\(5) & !\u5|Add2~27\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0110100110001110",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Add2~10_combout\,
        datab => \u5|Last_PCM_Data\(5),
        datad => VCC,
        cin => \u5|Add2~27\,
        combout => \u5|Add2~28_combout\,
        cout => \u5|Add2~29\);

\u5|Last_PCM_Data[5]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u5|Add2~28_combout\,
        ena => \u5|Last_PCM_Data[15]~17_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u5|Last_PCM_Data\(5));

\u5|Add2~30\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add2~30_combout\ = \u5|Add2~9_combout\ & (\u5|Last_PCM_Data\(6) & \u5|Add2~29\ & VCC # !\u5|Last_PCM_Data\(6) & !\u5|Add2~29\) # !\u5|Add2~9_combout\ & (\u5|Last_PCM_Data\(6) & !\u5|Add2~29\ # !\u5|Last_PCM_Data\(6) & (\u5|Add2~29\ # GND))
-- \u5|Add2~31\ = CARRY(\u5|Add2~9_combout\ & !\u5|Last_PCM_Data\(6) & !\u5|Add2~29\ # !\u5|Add2~9_combout\ & (!\u5|Add2~29\ # !\u5|Last_PCM_Data\(6)))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1001011000010111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Add2~9_combout\,
        datab => \u5|Last_PCM_Data\(6),
        datad => VCC,
        cin => \u5|Add2~29\,
        combout => \u5|Add2~30_combout\,
        cout => \u5|Add2~31\);

\u5|Last_PCM_Data[6]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u5|Add2~30_combout\,
        ena => \u5|Last_PCM_Data[15]~17_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u5|Last_PCM_Data\(6));

\u5|Add2~32\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add2~32_combout\ = (\u5|Add2~8_combout\ $ \u5|Last_PCM_Data\(7) $ !\u5|Add2~31\) # GND
-- \u5|Add2~33\ = CARRY(\u5|Add2~8_combout\ & (\u5|Last_PCM_Data\(7) # !\u5|Add2~31\) # !\u5|Add2~8_combout\ & \u5|Last_PCM_Data\(7) & !\u5|Add2~31\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0110100110001110",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Add2~8_combout\,
        datab => \u5|Last_PCM_Data\(7),
        datad => VCC,
        cin => \u5|Add2~31\,
        combout => \u5|Add2~32_combout\,
        cout => \u5|Add2~33\);

\u5|Last_PCM_Data[7]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u5|Add2~32_combout\,
        ena => \u5|Last_PCM_Data[15]~17_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u5|Last_PCM_Data\(7));

\u5|Add2~34\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add2~34_combout\ = \u5|Last_PCM_Data\(8) & (\u5|Add2~7_combout\ & \u5|Add2~33\ & VCC # !\u5|Add2~7_combout\ & !\u5|Add2~33\) # !\u5|Last_PCM_Data\(8) & (\u5|Add2~7_combout\ & !\u5|Add2~33\ # !\u5|Add2~7_combout\ & (\u5|Add2~33\ # GND))
-- \u5|Add2~35\ = CARRY(\u5|Last_PCM_Data\(8) & !\u5|Add2~7_combout\ & !\u5|Add2~33\ # !\u5|Last_PCM_Data\(8) & (!\u5|Add2~33\ # !\u5|Add2~7_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1001011000010111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Last_PCM_Data\(8),
        datab => \u5|Add2~7_combout\,
        datad => VCC,
        cin => \u5|Add2~33\,
        combout => \u5|Add2~34_combout\,
        cout => \u5|Add2~35\);

\u5|Add2~36\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add2~36_combout\ = (\u5|Add2~6_combout\ $ \u5|Last_PCM_Data\(9) $ !\u5|Add2~35\) # GND
-- \u5|Add2~37\ = CARRY(\u5|Add2~6_combout\ & (\u5|Last_PCM_Data\(9) # !\u5|Add2~35\) # !\u5|Add2~6_combout\ & \u5|Last_PCM_Data\(9) & !\u5|Add2~35\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0110100110001110",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Add2~6_combout\,
        datab => \u5|Last_PCM_Data\(9),
        datad => VCC,
        cin => \u5|Add2~35\,
        combout => \u5|Add2~36_combout\,
        cout => \u5|Add2~37\);

\u5|Last_PCM_Data[9]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u5|Add2~36_combout\,
        ena => \u5|Last_PCM_Data[15]~17_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u5|Last_PCM_Data\(9));

\u5|PCM_Data[9]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u5|Mux51~0_combout\,
        sdata => \u5|Last_PCM_Data\(9),
        sload => \u6|ADPCM_Decoder_State_Counter\(0),
        ena => \u5|PCM_Data[15]~182_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u5|PCM_Data\(9));

\u5|Mux52~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Mux52~0_combout\ = \u5|Mux45~4_combout\ & \u5|Add19~16_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010101000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Mux45~4_combout\,
        datad => \u5|Add19~16_combout\,
        combout => \u5|Mux52~0_combout\);

\u5|Last_PCM_Data[8]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u5|Add2~34_combout\,
        ena => \u5|Last_PCM_Data[15]~17_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u5|Last_PCM_Data\(8));

\u5|PCM_Data[8]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u5|Mux52~0_combout\,
        sdata => \u5|Last_PCM_Data\(8),
        sload => \u6|ADPCM_Decoder_State_Counter\(0),
        ena => \u5|PCM_Data[15]~182_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u5|PCM_Data\(8));

\u5|LessThan2~3\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|LessThan2~3_combout\ = !\u5|PCM_Data\(10) & !\u5|PCM_Data\(8) & \u5|LessThan2~2_combout\ & !\u5|PCM_Data\(9)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000000010000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|PCM_Data\(10),
        datab => \u5|PCM_Data\(8),
        datac => \u5|LessThan2~2_combout\,
        datad => \u5|PCM_Data\(9),
        combout => \u5|LessThan2~3_combout\);

\u5|Add16~17\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add16~17_combout\ = \u5|PCM_Data~163_combout\ & (GND # !\u5|Add16~16\) # !\u5|PCM_Data~163_combout\ & (\u5|Add16~16\ $ GND)
-- \u5|Add16~18\ = CARRY(\u5|PCM_Data~163_combout\ # !\u5|Add16~16\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0101101010101111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|PCM_Data~163_combout\,
        datad => VCC,
        cin => \u5|Add16~16\,
        combout => \u5|Add16~17_combout\,
        cout => \u5|Add16~18\);

\u5|Add16~35\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add16~35_combout\ = \u5|Add16~17_combout\ & \u5|LessThan14~4_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Add16~17_combout\,
        datad => \u5|LessThan14~4_combout\,
        combout => \u5|Add16~35_combout\);

\u5|Add17~18\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add17~18_combout\ = \u5|Add16~35_combout\ & \u5|Add17~17\ & VCC # !\u5|Add16~35_combout\ & !\u5|Add17~17\
-- \u5|Add17~19\ = CARRY(!\u5|Add16~35_combout\ & !\u5|Add17~17\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100001100000011",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u5|Add16~35_combout\,
        datad => VCC,
        cin => \u5|Add17~17\,
        combout => \u5|Add17~18_combout\,
        cout => \u5|Add17~19\);

\u5|Add17~20\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add17~20_combout\ = \u5|Add16~34_combout\ & (GND # !\u5|Add17~19\) # !\u5|Add16~34_combout\ & (\u5|Add17~19\ $ GND)
-- \u5|Add17~21\ = CARRY(\u5|Add16~34_combout\ # !\u5|Add17~19\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110011001111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u5|Add16~34_combout\,
        datad => VCC,
        cin => \u5|Add17~19\,
        combout => \u5|Add17~20_combout\,
        cout => \u5|Add17~21\);

\u5|PCM_Data~179\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|PCM_Data~179_combout\ = \u5|Add17~18_combout\ & \u5|PCM_Data~171_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Add17~18_combout\,
        datad => \u5|PCM_Data~171_combout\,
        combout => \u5|PCM_Data~179_combout\);

\u5|Add18~20\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add18~20_combout\ = \u5|PCM_Data~173_combout\ & \u5|Add18~19\ & VCC # !\u5|PCM_Data~173_combout\ & !\u5|Add18~19\
-- \u5|Add18~21\ = CARRY(!\u5|PCM_Data~173_combout\ & !\u5|Add18~19\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010010100000101",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|PCM_Data~173_combout\,
        datad => VCC,
        cin => \u5|Add18~19\,
        combout => \u5|Add18~20_combout\,
        cout => \u5|Add18~21\);

\u5|Add18~22\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add18~22_combout\ = \u5|PCM_Data~179_combout\ & (GND # !\u5|Add18~21\) # !\u5|PCM_Data~179_combout\ & (\u5|Add18~21\ $ GND)
-- \u5|Add18~23\ = CARRY(\u5|PCM_Data~179_combout\ # !\u5|Add18~21\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110011001111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u5|PCM_Data~179_combout\,
        datad => VCC,
        cin => \u5|Add18~21\,
        combout => \u5|Add18~22_combout\,
        cout => \u5|Add18~23\);

\u5|Add18~24\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add18~24_combout\ = \u5|PCM_Data~171_combout\ & (\u5|Add17~20_combout\ & \u5|Add18~23\ & VCC # !\u5|Add17~20_combout\ & !\u5|Add18~23\) # !\u5|PCM_Data~171_combout\ & (!\u5|Add18~23\)
-- \u5|Add18~25\ = CARRY(!\u5|Add18~23\ & (!\u5|Add17~20_combout\ # !\u5|PCM_Data~171_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1000011100000111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|PCM_Data~171_combout\,
        datab => \u5|Add17~20_combout\,
        datad => VCC,
        cin => \u5|Add18~23\,
        combout => \u5|Add18~24_combout\,
        cout => \u5|Add18~25\);

\u5|Add18~41\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add18~41_combout\ = \u5|Add18~24_combout\ & \u5|LessThan16~5_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100110000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u5|Add18~24_combout\,
        datad => \u5|LessThan16~5_combout\,
        combout => \u5|Add18~41_combout\);

\u5|Add18~35\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add18~35_combout\ = \u5|Add18~20_combout\ & \u5|LessThan16~5_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100110000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u5|Add18~20_combout\,
        datad => \u5|LessThan16~5_combout\,
        combout => \u5|Add18~35_combout\);

\u5|Add19~22\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add19~22_combout\ = \u5|Add18~45_combout\ & \u5|Add19~21\ & VCC # !\u5|Add18~45_combout\ & !\u5|Add19~21\
-- \u5|Add19~23\ = CARRY(!\u5|Add18~45_combout\ & !\u5|Add19~21\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010010100000101",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Add18~45_combout\,
        datad => VCC,
        cin => \u5|Add19~21\,
        combout => \u5|Add19~22_combout\,
        cout => \u5|Add19~23\);

\u5|Add19~24\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add19~24_combout\ = \u5|Add18~41_combout\ & (GND # !\u5|Add19~23\) # !\u5|Add18~41_combout\ & (\u5|Add19~23\ $ GND)
-- \u5|Add19~25\ = CARRY(\u5|Add18~41_combout\ # !\u5|Add19~23\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110011001111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u5|Add18~41_combout\,
        datad => VCC,
        cin => \u5|Add19~23\,
        combout => \u5|Add19~24_combout\,
        cout => \u5|Add19~25\);

\u5|Mux48~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Mux48~0_combout\ = \u5|Mux45~4_combout\ & \u5|Add19~24_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100110000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u5|Mux45~4_combout\,
        datad => \u5|Add19~24_combout\,
        combout => \u5|Mux48~0_combout\);

\u5|PCM_Data_Difference[12]~3\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|PCM_Data_Difference[12]~3_combout\ = !\u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011111111",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_7_result_int[8]~12_combout\,
        combout => \u5|PCM_Data_Difference[12]~3_combout\);

\u5|PCM_Data_Difference[12]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u5|PCM_Data_Difference[12]~3_combout\,
        ena => \u5|PCM_Data_Difference[0]~1_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u5|PCM_Data_Difference\(12));

\u5|Add2~3\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add2~3_combout\ = \ADPCM_DECODER_DATA_LEFT~regout\ $ \u5|PCM_Data_Difference\(12)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111111110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \ADPCM_DECODER_DATA_LEFT~regout\,
        datad => \u5|PCM_Data_Difference\(12),
        combout => \u5|Add2~3_combout\);

\u5|PCM_Data_Difference[10]~5\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|PCM_Data_Difference[10]~5_combout\ = !\u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111100001111",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Div0|auto_generated|divider|divider|add_sub_9_result_int[8]~12_combout\,
        combout => \u5|PCM_Data_Difference[10]~5_combout\);

\u5|PCM_Data_Difference[10]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u5|PCM_Data_Difference[10]~5_combout\,
        ena => \u5|PCM_Data_Difference[0]~1_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u5|PCM_Data_Difference\(10));

\u5|Add2~5\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add2~5_combout\ = \u5|PCM_Data_Difference\(10) $ \ADPCM_DECODER_DATA_LEFT~regout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110000111100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u5|PCM_Data_Difference\(10),
        datac => \ADPCM_DECODER_DATA_LEFT~regout\,
        combout => \u5|Add2~5_combout\);

\u5|Add2~38\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add2~38_combout\ = \u5|Last_PCM_Data\(10) & (\u5|Add2~5_combout\ & \u5|Add2~37\ & VCC # !\u5|Add2~5_combout\ & !\u5|Add2~37\) # !\u5|Last_PCM_Data\(10) & (\u5|Add2~5_combout\ & !\u5|Add2~37\ # !\u5|Add2~5_combout\ & (\u5|Add2~37\ # GND))
-- \u5|Add2~39\ = CARRY(\u5|Last_PCM_Data\(10) & !\u5|Add2~5_combout\ & !\u5|Add2~37\ # !\u5|Last_PCM_Data\(10) & (!\u5|Add2~37\ # !\u5|Add2~5_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1001011000010111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Last_PCM_Data\(10),
        datab => \u5|Add2~5_combout\,
        datad => VCC,
        cin => \u5|Add2~37\,
        combout => \u5|Add2~38_combout\,
        cout => \u5|Add2~39\);

\u5|Add2~40\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add2~40_combout\ = (\u5|Add2~4_combout\ $ \u5|Last_PCM_Data\(11) $ !\u5|Add2~39\) # GND
-- \u5|Add2~41\ = CARRY(\u5|Add2~4_combout\ & (\u5|Last_PCM_Data\(11) # !\u5|Add2~39\) # !\u5|Add2~4_combout\ & \u5|Last_PCM_Data\(11) & !\u5|Add2~39\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0110100110001110",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Add2~4_combout\,
        datab => \u5|Last_PCM_Data\(11),
        datad => VCC,
        cin => \u5|Add2~39\,
        combout => \u5|Add2~40_combout\,
        cout => \u5|Add2~41\);

\u5|Last_PCM_Data[11]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u5|Add2~40_combout\,
        ena => \u5|Last_PCM_Data[15]~17_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u5|Last_PCM_Data\(11));

\u5|Add2~42\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add2~42_combout\ = \u5|Last_PCM_Data\(12) & (\u5|Add2~3_combout\ & \u5|Add2~41\ & VCC # !\u5|Add2~3_combout\ & !\u5|Add2~41\) # !\u5|Last_PCM_Data\(12) & (\u5|Add2~3_combout\ & !\u5|Add2~41\ # !\u5|Add2~3_combout\ & (\u5|Add2~41\ # GND))
-- \u5|Add2~43\ = CARRY(\u5|Last_PCM_Data\(12) & !\u5|Add2~3_combout\ & !\u5|Add2~41\ # !\u5|Last_PCM_Data\(12) & (!\u5|Add2~41\ # !\u5|Add2~3_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1001011000010111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Last_PCM_Data\(12),
        datab => \u5|Add2~3_combout\,
        datad => VCC,
        cin => \u5|Add2~41\,
        combout => \u5|Add2~42_combout\,
        cout => \u5|Add2~43\);

\u5|Last_PCM_Data[12]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u5|Add2~42_combout\,
        ena => \u5|Last_PCM_Data[15]~17_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u5|Last_PCM_Data\(12));

\u5|PCM_Data[12]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u5|Mux48~0_combout\,
        sdata => \u5|Last_PCM_Data\(12),
        sload => \u6|ADPCM_Decoder_State_Counter\(0),
        ena => \u5|PCM_Data[15]~182_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u5|PCM_Data\(12));

\u5|Mux49~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Mux49~0_combout\ = \u5|Mux45~4_combout\ & \u5|Add19~22_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100110000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u5|Mux45~4_combout\,
        datad => \u5|Add19~22_combout\,
        combout => \u5|Mux49~0_combout\);

\u5|PCM_Data[11]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u5|Mux49~0_combout\,
        sdata => \u5|Last_PCM_Data\(11),
        sload => \u6|ADPCM_Decoder_State_Counter\(0),
        ena => \u5|PCM_Data[15]~182_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u5|PCM_Data\(11));

\u5|LessThan6~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|LessThan6~0_combout\ = \u5|LessThan2~3_combout\ & (\u5|LessThan5~0_combout\ $ \u5|PCM_Data\(12)) # !\u5|LessThan2~3_combout\ & (\u5|PCM_Data\(11) # \u5|LessThan5~0_combout\ $ \u5|PCM_Data\(12))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0111101101011010",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|LessThan5~0_combout\,
        datab => \u5|LessThan2~3_combout\,
        datac => \u5|PCM_Data\(12),
        datad => \u5|PCM_Data\(11),
        combout => \u5|LessThan6~0_combout\);

\u5|Add8~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add8~2_combout\ = \u5|LessThan6~0_combout\ & \u5|Add8~0_combout\ # !\u5|LessThan6~0_combout\ & (\u5|PCM_Data\(11))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1011101110001000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Add8~0_combout\,
        datab => \u5|LessThan6~0_combout\,
        datad => \u5|PCM_Data\(11),
        combout => \u5|Add8~2_combout\);

\u5|Add8~3\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add8~3_combout\ = \u5|Add8~1\ & (\u5|LessThan5~0_combout\ $ \u5|PCM_Data\(12)) # !\u5|Add8~1\ & (\u5|LessThan5~0_combout\ $ !\u5|PCM_Data\(12))
-- \u5|Add8~4\ = CARRY(!\u5|Add8~1\ & (\u5|LessThan5~0_combout\ $ !\u5|PCM_Data\(12)))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0110100100001001",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|LessThan5~0_combout\,
        datab => \u5|PCM_Data\(12),
        datad => VCC,
        cin => \u5|Add8~1\,
        combout => \u5|Add8~3_combout\,
        cout => \u5|Add8~4\);

\u5|LessThan2~4\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|LessThan2~4_combout\ = \u5|PCM_Data\(12) # \u5|PCM_Data\(11) # !\u5|LessThan2~3_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111101011111111",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|PCM_Data\(12),
        datac => \u5|PCM_Data\(11),
        datad => \u5|LessThan2~3_combout\,
        combout => \u5|LessThan2~4_combout\);

\u5|Add18~44\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add18~44_combout\ = \u5|LessThan16~5_combout\ & \u5|Add18~30_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|LessThan16~5_combout\,
        datad => \u5|Add18~30_combout\,
        combout => \u5|Add18~44_combout\);

\u5|Add18~26\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add18~26_combout\ = \u5|Add18~25\ & ((\u5|PCM_Data~171_combout\ & \u5|Add17~22_combout\)) # !\u5|Add18~25\ & (\u5|PCM_Data~171_combout\ & \u5|Add17~22_combout\ # GND)
-- \u5|Add18~27\ = CARRY(\u5|PCM_Data~171_combout\ & \u5|Add17~22_combout\ # !\u5|Add18~25\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0111100010001111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|PCM_Data~171_combout\,
        datab => \u5|Add17~22_combout\,
        datad => VCC,
        cin => \u5|Add18~25\,
        combout => \u5|Add18~26_combout\,
        cout => \u5|Add18~27\);

\u5|Add18~28\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add18~28_combout\ = \u5|Add17~24_combout\ & (\u5|PCM_Data~171_combout\ & \u5|Add18~27\ & VCC # !\u5|PCM_Data~171_combout\ & !\u5|Add18~27\) # !\u5|Add17~24_combout\ & (!\u5|Add18~27\)
-- \u5|Add18~29\ = CARRY(!\u5|Add18~27\ & (!\u5|PCM_Data~171_combout\ # !\u5|Add17~24_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1000011100000111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Add17~24_combout\,
        datab => \u5|PCM_Data~171_combout\,
        datad => VCC,
        cin => \u5|Add18~27\,
        combout => \u5|Add18~28_combout\,
        cout => \u5|Add18~29\);

\u5|Add18~34\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add18~34_combout\ = \u5|Add18~28_combout\ & \u5|LessThan16~5_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Add18~28_combout\,
        datad => \u5|LessThan16~5_combout\,
        combout => \u5|Add18~34_combout\);

\u5|Add18~38\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add18~38_combout\ = \u5|LessThan16~5_combout\ & \u5|Add18~26_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|LessThan16~5_combout\,
        datad => \u5|Add18~26_combout\,
        combout => \u5|Add18~38_combout\);

\u5|Add19~28\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add19~28_combout\ = \u5|Add18~34_combout\ & (GND # !\u5|Add19~27\) # !\u5|Add18~34_combout\ & (\u5|Add19~27\ $ GND)
-- \u5|Add19~29\ = CARRY(\u5|Add18~34_combout\ # !\u5|Add19~27\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110011001111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u5|Add18~34_combout\,
        datad => VCC,
        cin => \u5|Add19~27\,
        combout => \u5|Add19~28_combout\,
        cout => \u5|Add19~29\);

\u5|Add19~30\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add19~30_combout\ = \u5|Add19~29\ $ !\u5|Add18~44_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000001111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datad => \u5|Add18~44_combout\,
        cin => \u5|Add19~29\,
        combout => \u5|Add19~30_combout\);

\u5|Mux45~5\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Mux45~5_combout\ = \u5|Mux45~4_combout\ & \u5|Add19~30_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100110000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u5|Mux45~4_combout\,
        datad => \u5|Add19~30_combout\,
        combout => \u5|Mux45~5_combout\);

\u5|Add2~1\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add2~1_combout\ = \ADPCM_DECODER_DATA_LEFT~regout\ $ !\u5|PCM_Data_Difference\(15)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000001111",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \ADPCM_DECODER_DATA_LEFT~regout\,
        datad => \u5|PCM_Data_Difference\(15),
        combout => \u5|Add2~1_combout\);

\u5|PCM_Data_Difference[13]~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|PCM_Data_Difference[13]~2_combout\ = !\u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000011111111",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datad => \u5|Div0|auto_generated|divider|divider|add_sub_6_result_int[7]~10_combout\,
        combout => \u5|PCM_Data_Difference[13]~2_combout\);

\u5|PCM_Data_Difference[13]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u5|PCM_Data_Difference[13]~2_combout\,
        ena => \u5|PCM_Data_Difference[0]~1_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u5|PCM_Data_Difference\(13));

\u5|Add2~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add2~2_combout\ = \u5|PCM_Data_Difference\(13) $ \ADPCM_DECODER_DATA_LEFT~regout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110000111100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u5|PCM_Data_Difference\(13),
        datac => \ADPCM_DECODER_DATA_LEFT~regout\,
        combout => \u5|Add2~2_combout\);

\u5|Add2~44\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add2~44_combout\ = (\u5|Last_PCM_Data\(13) $ \u5|Add2~2_combout\ $ !\u5|Add2~43\) # GND
-- \u5|Add2~45\ = CARRY(\u5|Last_PCM_Data\(13) & (\u5|Add2~2_combout\ # !\u5|Add2~43\) # !\u5|Last_PCM_Data\(13) & \u5|Add2~2_combout\ & !\u5|Add2~43\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0110100110001110",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Last_PCM_Data\(13),
        datab => \u5|Add2~2_combout\,
        datad => VCC,
        cin => \u5|Add2~43\,
        combout => \u5|Add2~44_combout\,
        cout => \u5|Add2~45\);

\u5|Add2~46\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add2~46_combout\ = \ADPCM_DECODER_DATA_LEFT~regout\ & (\u5|Last_PCM_Data\(14) & \u5|Add2~45\ & VCC # !\u5|Last_PCM_Data\(14) & !\u5|Add2~45\) # !\ADPCM_DECODER_DATA_LEFT~regout\ & (\u5|Last_PCM_Data\(14) & !\u5|Add2~45\ # !\u5|Last_PCM_Data\(14) & 
-- (\u5|Add2~45\ # GND))
-- \u5|Add2~47\ = CARRY(\ADPCM_DECODER_DATA_LEFT~regout\ & !\u5|Last_PCM_Data\(14) & !\u5|Add2~45\ # !\ADPCM_DECODER_DATA_LEFT~regout\ & (!\u5|Add2~45\ # !\u5|Last_PCM_Data\(14)))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1001011000010111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \ADPCM_DECODER_DATA_LEFT~regout\,
        datab => \u5|Last_PCM_Data\(14),
        datad => VCC,
        cin => \u5|Add2~45\,
        combout => \u5|Add2~46_combout\,
        cout => \u5|Add2~47\);

\u5|Last_PCM_Data[14]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u5|Add2~46_combout\,
        ena => \u5|Last_PCM_Data[15]~17_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u5|Last_PCM_Data\(14));

\u5|Add2~48\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add2~48_combout\ = \u5|Last_PCM_Data\(15) $ \u5|Add2~47\ $ \u5|Add2~1_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010010101011010",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Last_PCM_Data\(15),
        datad => \u5|Add2~1_combout\,
        cin => \u5|Add2~47\,
        combout => \u5|Add2~48_combout\);

\u5|Last_PCM_Data[15]~18\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Last_PCM_Data[15]~18_combout\ = !\u5|Add2~48_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111100001111",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Add2~48_combout\,
        combout => \u5|Last_PCM_Data[15]~18_combout\);

\u5|Last_PCM_Data[15]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u5|Last_PCM_Data[15]~18_combout\,
        ena => \u5|Last_PCM_Data[15]~17_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u5|Last_PCM_Data\(15));

\u5|Last_PCM_Data[15]~_wirecell\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Last_PCM_Data[15]~_wirecell_combout\ = !\u5|Last_PCM_Data\(15)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111100001111",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Last_PCM_Data\(15),
        combout => \u5|Last_PCM_Data[15]~_wirecell_combout\);

\u5|PCM_Data[15]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u5|Mux45~5_combout\,
        sdata => \u5|Last_PCM_Data[15]~_wirecell_combout\,
        sload => \u6|ADPCM_Decoder_State_Counter\(0),
        ena => \u5|PCM_Data[15]~182_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u5|PCM_Data\(15));

\u5|Mux47~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Mux47~0_combout\ = \u5|Add19~26_combout\ & \u5|Mux45~4_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010101000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Add19~26_combout\,
        datad => \u5|Mux45~4_combout\,
        combout => \u5|Mux47~0_combout\);

\u5|Last_PCM_Data[13]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u5|Add2~44_combout\,
        ena => \u5|Last_PCM_Data[15]~17_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u5|Last_PCM_Data\(13));

\u5|PCM_Data[13]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u5|Mux47~0_combout\,
        sdata => \u5|Last_PCM_Data\(13),
        sload => \u6|ADPCM_Decoder_State_Counter\(0),
        ena => \u5|PCM_Data[15]~182_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u5|PCM_Data\(13));

\u5|PCM_Data~136\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|PCM_Data~136_combout\ = !\u5|LessThan2~4_combout\ & !\u5|PCM_Data\(13) & (\u5|PCM_Data\(14) # \u5|PCM_Data\(15))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000000001110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|PCM_Data\(14),
        datab => \u5|PCM_Data\(15),
        datac => \u5|LessThan2~4_combout\,
        datad => \u5|PCM_Data\(13),
        combout => \u5|PCM_Data~136_combout\);

\u5|Add7~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add7~0_combout\ = \u5|PCM_Data\(12) # \u5|PCM_Data\(13) & !\u5|LessThan2~4_combout\ & !\u5|PCM_Data~136_combout\ # !\u5|PCM_Data\(13) & (\u5|PCM_Data~136_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111010111110010",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|PCM_Data\(13),
        datab => \u5|LessThan2~4_combout\,
        datac => \u5|PCM_Data\(12),
        datad => \u5|PCM_Data~136_combout\,
        combout => \u5|Add7~0_combout\);

\u5|LessThan2~6\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|LessThan2~6_combout\ = !\u5|PCM_Data\(11) & \u5|LessThan2~3_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|PCM_Data\(11),
        datad => \u5|LessThan2~3_combout\,
        combout => \u5|LessThan2~6_combout\);

\u5|PCM_Data~137\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|PCM_Data~137_combout\ = \u5|PCM_Data~136_combout\ # \u5|LessThan2~6_combout\ & \u5|PCM_Data\(13) & !\u5|PCM_Data\(12) # !\u5|LessThan2~6_combout\ & (\u5|PCM_Data\(12))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111100111000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|PCM_Data\(13),
        datab => \u5|LessThan2~6_combout\,
        datac => \u5|PCM_Data\(12),
        datad => \u5|PCM_Data~136_combout\,
        combout => \u5|PCM_Data~137_combout\);

\u5|Add8~5\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add8~5_combout\ = \u5|Add8~4\ & ((!\u5|Add7~1_combout\ & \u5|PCM_Data~137_combout\)) # !\u5|Add8~4\ & (!\u5|Add7~1_combout\ & \u5|PCM_Data~137_combout\ # GND)
-- \u5|Add8~6\ = CARRY(!\u5|Add7~1_combout\ & \u5|PCM_Data~137_combout\ # !\u5|Add8~4\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1011010001001111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Add7~1_combout\,
        datab => \u5|PCM_Data~137_combout\,
        datad => VCC,
        cin => \u5|Add8~4\,
        combout => \u5|Add8~5_combout\,
        cout => \u5|Add8~6\);

\u5|Add8~7\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add8~7_combout\ = \u5|PCM_Data~137_combout\ & (\u5|Add7~0_combout\ & !\u5|Add8~6\ # !\u5|Add7~0_combout\ & \u5|Add8~6\ & VCC) # !\u5|PCM_Data~137_combout\ & (!\u5|Add8~6\)
-- \u5|Add8~8\ = CARRY(!\u5|Add8~6\ & (\u5|Add7~0_combout\ # !\u5|PCM_Data~137_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0010110100001101",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|PCM_Data~137_combout\,
        datab => \u5|Add7~0_combout\,
        datad => VCC,
        cin => \u5|Add8~6\,
        combout => \u5|Add8~7_combout\,
        cout => \u5|Add8~8\);

\u5|LessThan7~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|LessThan7~0_combout\ = \u5|LessThan6~0_combout\ & (\u5|Add8~5_combout\ # \u5|Add8~3_combout\ # \u5|Add8~7_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100110011001000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Add8~5_combout\,
        datab => \u5|LessThan6~0_combout\,
        datac => \u5|Add8~3_combout\,
        datad => \u5|Add8~7_combout\,
        combout => \u5|LessThan7~0_combout\);

\u5|Add8~9\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add8~9_combout\ = \u5|Add8~8\ $ (\u5|PCM_Data~137_combout\ & !\u5|Add7~0_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000111100",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u5|PCM_Data~137_combout\,
        datad => \u5|Add7~0_combout\,
        cin => \u5|Add8~8\,
        combout => \u5|Add8~9_combout\);

\u5|Add8~11\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add8~11_combout\ = \u5|Add8~9_combout\ & \u5|LessThan6~0_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Add8~9_combout\,
        datad => \u5|LessThan6~0_combout\,
        combout => \u5|Add8~11_combout\);

\u5|LessThan7~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|LessThan7~2_combout\ = \u5|LessThan7~1_combout\ # \u5|Add8~2_combout\ # \u5|LessThan7~0_combout\ # \u5|Add8~11_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111111110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|LessThan7~1_combout\,
        datab => \u5|Add8~2_combout\,
        datac => \u5|LessThan7~0_combout\,
        datad => \u5|Add8~11_combout\,
        combout => \u5|LessThan7~2_combout\);

\u5|Add8~13\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add8~13_combout\ = \u5|LessThan6~0_combout\ & \u5|Add8~5_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100110000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u5|LessThan6~0_combout\,
        datad => \u5|Add8~5_combout\,
        combout => \u5|Add8~13_combout\);

\u5|Add8~12\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add8~12_combout\ = \u5|Add8~3_combout\ & \u5|LessThan6~0_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Add8~3_combout\,
        datad => \u5|LessThan6~0_combout\,
        combout => \u5|Add8~12_combout\);

\u5|Mux50~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Mux50~0_combout\ = \u5|Add19~20_combout\ & \u5|Mux45~4_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1000100010001000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Add19~20_combout\,
        datab => \u5|Mux45~4_combout\,
        combout => \u5|Mux50~0_combout\);

\u5|Last_PCM_Data[10]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u5|Add2~38_combout\,
        ena => \u5|Last_PCM_Data[15]~17_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u5|Last_PCM_Data\(10));

\u5|PCM_Data[10]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u5|Mux50~0_combout\,
        sdata => \u5|Last_PCM_Data\(10),
        sload => \u6|ADPCM_Decoder_State_Counter\(0),
        ena => \u5|PCM_Data[15]~182_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u5|PCM_Data\(10));

\u5|Add9~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add9~2_combout\ = \u5|Add8~2_combout\ & \u5|Add9~1\ & VCC # !\u5|Add8~2_combout\ & !\u5|Add9~1\
-- \u5|Add9~3\ = CARRY(!\u5|Add8~2_combout\ & !\u5|Add9~1\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010010100000101",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Add8~2_combout\,
        datad => VCC,
        cin => \u5|Add9~1\,
        combout => \u5|Add9~2_combout\,
        cout => \u5|Add9~3\);

\u5|Add9~4\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add9~4_combout\ = \u5|Add8~12_combout\ & (GND # !\u5|Add9~3\) # !\u5|Add8~12_combout\ & (\u5|Add9~3\ $ GND)
-- \u5|Add9~5\ = CARRY(\u5|Add8~12_combout\ # !\u5|Add9~3\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110011001111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u5|Add8~12_combout\,
        datad => VCC,
        cin => \u5|Add9~3\,
        combout => \u5|Add9~4_combout\,
        cout => \u5|Add9~5\);

\u5|Add9~6\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add9~6_combout\ = \u5|Add8~13_combout\ & \u5|Add9~5\ & VCC # !\u5|Add8~13_combout\ & !\u5|Add9~5\
-- \u5|Add9~7\ = CARRY(!\u5|Add8~13_combout\ & !\u5|Add9~5\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100001100000011",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u5|Add8~13_combout\,
        datad => VCC,
        cin => \u5|Add9~5\,
        combout => \u5|Add9~6_combout\,
        cout => \u5|Add9~7\);

\u5|Add9~8\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add9~8_combout\ = \u5|Add8~14_combout\ & (GND # !\u5|Add9~7\) # !\u5|Add8~14_combout\ & (\u5|Add9~7\ $ GND)
-- \u5|Add9~9\ = CARRY(\u5|Add8~14_combout\ # !\u5|Add9~7\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0101101010101111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Add8~14_combout\,
        datad => VCC,
        cin => \u5|Add9~7\,
        combout => \u5|Add9~8_combout\,
        cout => \u5|Add9~9\);

\u5|Add9~10\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add9~10_combout\ = \u5|Add9~9\ $ !\u5|Add8~11_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000001111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datad => \u5|Add8~11_combout\,
        cin => \u5|Add9~9\,
        combout => \u5|Add9~10_combout\);

\u5|LessThan8~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|LessThan8~0_combout\ = \u5|Add9~4_combout\ # \u5|Add9~10_combout\ # \u5|Add9~8_combout\ # \u5|Add9~6_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111111110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Add9~4_combout\,
        datab => \u5|Add9~10_combout\,
        datac => \u5|Add9~8_combout\,
        datad => \u5|Add9~6_combout\,
        combout => \u5|LessThan8~0_combout\);

\u5|LessThan8~1\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|LessThan8~1_combout\ = \u5|PCM_Data~138_combout\ # \u5|LessThan7~2_combout\ & (\u5|LessThan8~0_combout\ # \u5|Add9~2_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1110111011101010",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|PCM_Data~138_combout\,
        datab => \u5|LessThan7~2_combout\,
        datac => \u5|LessThan8~0_combout\,
        datad => \u5|Add9~2_combout\,
        combout => \u5|LessThan8~1_combout\);

\u5|Add10~15\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add10~15_combout\ = \u5|Add10~8_combout\ & (\u5|LessThan8~1_combout\ # \u5|PCM_Data\(9) & \u5|Add10~14_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010100010100000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Add10~8_combout\,
        datab => \u5|PCM_Data\(9),
        datac => \u5|LessThan8~1_combout\,
        datad => \u5|Add10~14_combout\,
        combout => \u5|Add10~15_combout\);

\u5|Add10~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add10~0_combout\ = \u5|PCM_Data\(9) $ VCC
-- \u5|Add10~1\ = CARRY(\u5|PCM_Data\(9))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011001111001100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u5|PCM_Data\(9),
        datad => VCC,
        combout => \u5|Add10~0_combout\,
        cout => \u5|Add10~1\);

\u5|Add10~3\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add10~3_combout\ = \u5|LessThan8~1_combout\ & (\u5|Add10~0_combout\) # !\u5|LessThan8~1_combout\ & \u5|Add10~2_combout\ & (\u5|LessThan2~2_combout\ # \u5|Add10~0_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000010101000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Add10~2_combout\,
        datab => \u5|LessThan2~2_combout\,
        datac => \u5|Add10~0_combout\,
        datad => \u5|LessThan8~1_combout\,
        combout => \u5|Add10~3_combout\);

\u5|Add11~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add11~2_combout\ = \u5|Add10~3_combout\ & \u5|Add11~1\ & VCC # !\u5|Add10~3_combout\ & !\u5|Add11~1\
-- \u5|Add11~3\ = CARRY(!\u5|Add10~3_combout\ & !\u5|Add11~1\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100001100000011",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u5|Add10~3_combout\,
        datad => VCC,
        cin => \u5|Add11~1\,
        combout => \u5|Add11~2_combout\,
        cout => \u5|Add11~3\);

\u5|Add11~4\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add11~4_combout\ = \u5|Add10~21_combout\ & (GND # !\u5|Add11~3\) # !\u5|Add10~21_combout\ & (\u5|Add11~3\ $ GND)
-- \u5|Add11~5\ = CARRY(\u5|Add10~21_combout\ # !\u5|Add11~3\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0101101010101111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Add10~21_combout\,
        datad => VCC,
        cin => \u5|Add11~3\,
        combout => \u5|Add11~4_combout\,
        cout => \u5|Add11~5\);

\u5|Add11~6\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add11~6_combout\ = \u5|Add10~20_combout\ & \u5|Add11~5\ & VCC # !\u5|Add10~20_combout\ & !\u5|Add11~5\
-- \u5|Add11~7\ = CARRY(!\u5|Add10~20_combout\ & !\u5|Add11~5\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100001100000011",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u5|Add10~20_combout\,
        datad => VCC,
        cin => \u5|Add11~5\,
        combout => \u5|Add11~6_combout\,
        cout => \u5|Add11~7\);

\u5|Add11~8\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add11~8_combout\ = \u5|Add10~15_combout\ & (GND # !\u5|Add11~7\) # !\u5|Add10~15_combout\ & (\u5|Add11~7\ $ GND)
-- \u5|Add11~9\ = CARRY(\u5|Add10~15_combout\ # !\u5|Add11~7\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110011001111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u5|Add10~15_combout\,
        datad => VCC,
        cin => \u5|Add11~7\,
        combout => \u5|Add11~8_combout\,
        cout => \u5|Add11~9\);

\u5|LessThan8~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|LessThan8~2_combout\ = \u5|LessThan8~1_combout\ # \u5|PCM_Data\(9) & (\u5|PCM_Data\(8) # !\u5|LessThan2~2_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111110100010",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|PCM_Data\(9),
        datab => \u5|LessThan2~2_combout\,
        datac => \u5|PCM_Data\(8),
        datad => \u5|LessThan8~1_combout\,
        combout => \u5|LessThan8~2_combout\);

\u5|Add10~4\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add10~4_combout\ = \u5|PCM_Data~138_combout\ & \u5|Add10~1\ & VCC # !\u5|PCM_Data~138_combout\ & !\u5|Add10~1\
-- \u5|Add10~5\ = CARRY(!\u5|PCM_Data~138_combout\ & !\u5|Add10~1\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010010100000101",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|PCM_Data~138_combout\,
        datad => VCC,
        cin => \u5|Add10~1\,
        combout => \u5|Add10~4_combout\,
        cout => \u5|Add10~5\);

\u5|LessThan9~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|LessThan9~0_combout\ = \u5|Add10~3_combout\ # \u5|LessThan8~2_combout\ & (\u5|Add10~12_combout\ # \u5|Add10~4_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111001000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Add10~12_combout\,
        datab => \u5|LessThan8~2_combout\,
        datac => \u5|Add10~4_combout\,
        datad => \u5|Add10~3_combout\,
        combout => \u5|LessThan9~0_combout\);

\u5|PCM_Data~139\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|PCM_Data~139_combout\ = \u5|Add9~2_combout\ & \u5|LessThan7~2_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010101000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Add9~2_combout\,
        datad => \u5|LessThan7~2_combout\,
        combout => \u5|PCM_Data~139_combout\);

\u5|Add10~6\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add10~6_combout\ = \u5|PCM_Data~139_combout\ & (GND # !\u5|Add10~5\) # !\u5|PCM_Data~139_combout\ & (\u5|Add10~5\ $ GND)
-- \u5|Add10~7\ = CARRY(\u5|PCM_Data~139_combout\ # !\u5|Add10~5\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110011001111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u5|PCM_Data~139_combout\,
        datad => VCC,
        cin => \u5|Add10~5\,
        combout => \u5|Add10~6_combout\,
        cout => \u5|Add10~7\);

\u5|Add10~12\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add10~12_combout\ = \u5|LessThan7~2_combout\ & (\u5|Add9~8_combout\ & \u5|Add10~11\ & VCC # !\u5|Add9~8_combout\ & !\u5|Add10~11\) # !\u5|LessThan7~2_combout\ & (!\u5|Add10~11\)
-- \u5|Add10~13\ = CARRY(!\u5|Add10~11\ & (!\u5|Add9~8_combout\ # !\u5|LessThan7~2_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1000011100000111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|LessThan7~2_combout\,
        datab => \u5|Add9~8_combout\,
        datad => VCC,
        cin => \u5|Add10~11\,
        combout => \u5|Add10~12_combout\,
        cout => \u5|Add10~13\);

\u5|Add10~17\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add10~17_combout\ = \u5|Add10~13\ $ (\u5|Add9~10_combout\ & \u5|LessThan7~2_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110011110000",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u5|Add9~10_combout\,
        datad => \u5|LessThan7~2_combout\,
        cin => \u5|Add10~13\,
        combout => \u5|Add10~17_combout\);

\u5|Add10~19\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add10~19_combout\ = \u5|Add10~17_combout\ & (\u5|LessThan8~1_combout\ # \u5|PCM_Data\(9) & \u5|Add10~14_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111100000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|PCM_Data\(9),
        datab => \u5|Add10~14_combout\,
        datac => \u5|LessThan8~1_combout\,
        datad => \u5|Add10~17_combout\,
        combout => \u5|Add10~19_combout\);

\u5|LessThan9~1\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|LessThan9~1_combout\ = \u5|Add10~16_combout\ # \u5|Add10~19_combout\ # \u5|Add10~15_combout\ # \u5|Add10~20_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111111110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Add10~16_combout\,
        datab => \u5|Add10~19_combout\,
        datac => \u5|Add10~15_combout\,
        datad => \u5|Add10~20_combout\,
        combout => \u5|LessThan9~1_combout\);

\u5|LessThan9~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|LessThan9~2_combout\ = \u5|LessThan9~0_combout\ # \u5|LessThan9~1_combout\ # \u5|PCM_Data\(8) & !\u5|LessThan2~2_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111110010",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|PCM_Data\(8),
        datab => \u5|LessThan2~2_combout\,
        datac => \u5|LessThan9~0_combout\,
        datad => \u5|LessThan9~1_combout\,
        combout => \u5|LessThan9~2_combout\);

\u5|PCM_Data~143\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|PCM_Data~143_combout\ = \u5|Add11~2_combout\ & \u5|LessThan9~2_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010000010100000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Add11~2_combout\,
        datac => \u5|LessThan9~2_combout\,
        combout => \u5|PCM_Data~143_combout\);

\u5|Add12~3\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add12~3_combout\ = \u5|PCM_Data~140_combout\ & \u5|Add12~1\ & VCC # !\u5|PCM_Data~140_combout\ & !\u5|Add12~1\
-- \u5|Add12~4\ = CARRY(!\u5|PCM_Data~140_combout\ & !\u5|Add12~1\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010010100000101",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|PCM_Data~140_combout\,
        datad => VCC,
        cin => \u5|Add12~1\,
        combout => \u5|Add12~3_combout\,
        cout => \u5|Add12~4\);

\u5|Add12~5\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add12~5_combout\ = \u5|PCM_Data~143_combout\ & (GND # !\u5|Add12~4\) # !\u5|PCM_Data~143_combout\ & (\u5|Add12~4\ $ GND)
-- \u5|Add12~6\ = CARRY(\u5|PCM_Data~143_combout\ # !\u5|Add12~4\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110011001111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u5|PCM_Data~143_combout\,
        datad => VCC,
        cin => \u5|Add12~4\,
        combout => \u5|Add12~5_combout\,
        cout => \u5|Add12~6\);

\u5|Add12~7\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add12~7_combout\ = \u5|PCM_Data~141_combout\ & \u5|Add12~6\ & VCC # !\u5|PCM_Data~141_combout\ & !\u5|Add12~6\
-- \u5|Add12~8\ = CARRY(!\u5|PCM_Data~141_combout\ & !\u5|Add12~6\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010010100000101",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|PCM_Data~141_combout\,
        datad => VCC,
        cin => \u5|Add12~6\,
        combout => \u5|Add12~7_combout\,
        cout => \u5|Add12~8\);

\u5|Add12~9\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add12~9_combout\ = \u5|PCM_Data~142_combout\ & (GND # !\u5|Add12~8\) # !\u5|PCM_Data~142_combout\ & (\u5|Add12~8\ $ GND)
-- \u5|Add12~10\ = CARRY(\u5|PCM_Data~142_combout\ # !\u5|Add12~8\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110011001111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u5|PCM_Data~142_combout\,
        datad => VCC,
        cin => \u5|Add12~8\,
        combout => \u5|Add12~9_combout\,
        cout => \u5|Add12~10\);

\u5|Add12~11\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add12~11_combout\ = \u5|LessThan9~2_combout\ & (\u5|Add11~8_combout\ & \u5|Add12~10\ & VCC # !\u5|Add11~8_combout\ & !\u5|Add12~10\) # !\u5|LessThan9~2_combout\ & (!\u5|Add12~10\)
-- \u5|Add12~12\ = CARRY(!\u5|Add12~10\ & (!\u5|Add11~8_combout\ # !\u5|LessThan9~2_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1000011100000111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|LessThan9~2_combout\,
        datab => \u5|Add11~8_combout\,
        datad => VCC,
        cin => \u5|Add12~10\,
        combout => \u5|Add12~11_combout\,
        cout => \u5|Add12~12\);

\u5|Add12~25\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add12~25_combout\ = \u5|LessThan10~3_combout\ & \u5|Add12~11_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|LessThan10~3_combout\,
        datad => \u5|Add12~11_combout\,
        combout => \u5|Add12~25_combout\);

\u5|Add12~20\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add12~20_combout\ = \u5|LessThan10~3_combout\ & \u5|Add12~5_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|LessThan10~3_combout\,
        datad => \u5|Add12~5_combout\,
        combout => \u5|Add12~20_combout\);

\u5|Add12~21\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add12~21_combout\ = \u5|LessThan10~3_combout\ & \u5|Add12~7_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|LessThan10~3_combout\,
        datad => \u5|Add12~7_combout\,
        combout => \u5|Add12~21_combout\);

\u5|Add11~10\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add11~10_combout\ = \u5|Add10~16_combout\ & \u5|Add11~9\ & VCC # !\u5|Add10~16_combout\ & !\u5|Add11~9\
-- \u5|Add11~11\ = CARRY(!\u5|Add10~16_combout\ & !\u5|Add11~9\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010010100000101",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Add10~16_combout\,
        datad => VCC,
        cin => \u5|Add11~9\,
        combout => \u5|Add11~10_combout\,
        cout => \u5|Add11~11\);

\u5|Add12~13\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add12~13_combout\ = \u5|Add12~12\ & ((\u5|LessThan9~2_combout\ & \u5|Add11~10_combout\)) # !\u5|Add12~12\ & (\u5|LessThan9~2_combout\ & \u5|Add11~10_combout\ # GND)
-- \u5|Add12~14\ = CARRY(\u5|LessThan9~2_combout\ & \u5|Add11~10_combout\ # !\u5|Add12~12\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0111100010001111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|LessThan9~2_combout\,
        datab => \u5|Add11~10_combout\,
        datad => VCC,
        cin => \u5|Add12~12\,
        combout => \u5|Add12~13_combout\,
        cout => \u5|Add12~14\);

\u5|Add12~19\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add12~19_combout\ = \u5|Add12~13_combout\ & \u5|LessThan10~3_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Add12~13_combout\,
        datad => \u5|LessThan10~3_combout\,
        combout => \u5|Add12~19_combout\);

\u5|PCM_Data~144\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|PCM_Data~144_combout\ = \u5|Add12~24_combout\ # \u5|Add12~20_combout\ # \u5|Add12~21_combout\ # \u5|Add12~19_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111111110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Add12~24_combout\,
        datab => \u5|Add12~20_combout\,
        datac => \u5|Add12~21_combout\,
        datad => \u5|Add12~19_combout\,
        combout => \u5|PCM_Data~144_combout\);

\u5|Add12~18\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add12~18_combout\ = \u5|Add12~9_combout\ & \u5|LessThan10~3_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Add12~9_combout\,
        datad => \u5|LessThan10~3_combout\,
        combout => \u5|Add12~18_combout\);

\u5|PCM_Data~145\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|PCM_Data~145_combout\ = \u5|Add12~17_combout\ # \u5|Add12~2_combout\ # \u5|PCM_Data~144_combout\ # \u5|Add12~18_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111111110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Add12~17_combout\,
        datab => \u5|Add12~2_combout\,
        datac => \u5|PCM_Data~144_combout\,
        datad => \u5|Add12~18_combout\,
        combout => \u5|PCM_Data~145_combout\);

\u5|Add12~26\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add12~26_combout\ = \u5|LessThan10~3_combout\ & \u5|Add12~3_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|LessThan10~3_combout\,
        datad => \u5|Add12~3_combout\,
        combout => \u5|Add12~26_combout\);

\u5|PCM_Data~147\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|PCM_Data~147_combout\ = \u5|PCM_Data~146_combout\ # \u5|Add12~25_combout\ # \u5|PCM_Data~145_combout\ # \u5|Add12~26_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111111110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|PCM_Data~146_combout\,
        datab => \u5|Add12~25_combout\,
        datac => \u5|PCM_Data~145_combout\,
        datad => \u5|Add12~26_combout\,
        combout => \u5|PCM_Data~147_combout\);

\u5|Add13~4\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add13~4_combout\ = \u5|Add12~26_combout\ & (GND # !\u5|Add13~3\) # !\u5|Add12~26_combout\ & (\u5|Add13~3\ $ GND)
-- \u5|Add13~5\ = CARRY(\u5|Add12~26_combout\ # !\u5|Add13~3\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0101101010101111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Add12~26_combout\,
        datad => VCC,
        cin => \u5|Add13~3\,
        combout => \u5|Add13~4_combout\,
        cout => \u5|Add13~5\);

\u5|Add13~6\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add13~6_combout\ = \u5|Add12~20_combout\ & \u5|Add13~5\ & VCC # !\u5|Add12~20_combout\ & !\u5|Add13~5\
-- \u5|Add13~7\ = CARRY(!\u5|Add12~20_combout\ & !\u5|Add13~5\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100001100000011",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u5|Add12~20_combout\,
        datad => VCC,
        cin => \u5|Add13~5\,
        combout => \u5|Add13~6_combout\,
        cout => \u5|Add13~7\);

\u5|PCM_Data~150\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|PCM_Data~150_combout\ = \u5|Add13~6_combout\ & \u5|PCM_Data~147_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100110000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u5|Add13~6_combout\,
        datad => \u5|PCM_Data~147_combout\,
        combout => \u5|PCM_Data~150_combout\);

\u5|PCM_Data~151\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|PCM_Data~151_combout\ = \u5|Add13~2_combout\ & \u5|PCM_Data~147_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010101000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Add13~2_combout\,
        datad => \u5|PCM_Data~147_combout\,
        combout => \u5|PCM_Data~151_combout\);

\u5|Mux54~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Mux54~0_combout\ = \u5|Mux45~4_combout\ & \u5|Add19~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100110000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u5|Mux45~4_combout\,
        datad => \u5|Add19~12_combout\,
        combout => \u5|Mux54~0_combout\);

\u5|PCM_Data[6]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u5|Mux54~0_combout\,
        sdata => \u5|Last_PCM_Data\(6),
        sload => \u6|ADPCM_Decoder_State_Counter\(0),
        ena => \u5|PCM_Data[15]~182_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u5|PCM_Data\(6));

\u5|PCM_Data~148\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|PCM_Data~148_combout\ = \u5|PCM_Data~147_combout\ & \u5|Add13~0_combout\ # !\u5|PCM_Data~147_combout\ & (\u5|PCM_Data\(6))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010101011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Add13~0_combout\,
        datac => \u5|PCM_Data\(6),
        datad => \u5|PCM_Data~147_combout\,
        combout => \u5|PCM_Data~148_combout\);

\u5|Add14~5\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add14~5_combout\ = \u5|PCM_Data~151_combout\ & (GND # !\u5|Add14~4\) # !\u5|PCM_Data~151_combout\ & (\u5|Add14~4\ $ GND)
-- \u5|Add14~6\ = CARRY(\u5|PCM_Data~151_combout\ # !\u5|Add14~4\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110011001111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u5|PCM_Data~151_combout\,
        datad => VCC,
        cin => \u5|Add14~4\,
        combout => \u5|Add14~5_combout\,
        cout => \u5|Add14~6\);

\u5|Add14~7\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add14~7_combout\ = \u5|PCM_Data~153_combout\ & \u5|Add14~6\ & VCC # !\u5|PCM_Data~153_combout\ & !\u5|Add14~6\
-- \u5|Add14~8\ = CARRY(!\u5|PCM_Data~153_combout\ & !\u5|Add14~6\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100001100000011",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u5|PCM_Data~153_combout\,
        datad => VCC,
        cin => \u5|Add14~6\,
        combout => \u5|Add14~7_combout\,
        cout => \u5|Add14~8\);

\u5|Add14~9\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add14~9_combout\ = \u5|PCM_Data~150_combout\ & (GND # !\u5|Add14~8\) # !\u5|PCM_Data~150_combout\ & (\u5|Add14~8\ $ GND)
-- \u5|Add14~10\ = CARRY(\u5|PCM_Data~150_combout\ # !\u5|Add14~8\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110011001111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u5|PCM_Data~150_combout\,
        datad => VCC,
        cin => \u5|Add14~8\,
        combout => \u5|Add14~9_combout\,
        cout => \u5|Add14~10\);

\u5|Add14~11\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add14~11_combout\ = \u5|PCM_Data~152_combout\ & \u5|Add14~10\ & VCC # !\u5|PCM_Data~152_combout\ & !\u5|Add14~10\
-- \u5|Add14~12\ = CARRY(!\u5|PCM_Data~152_combout\ & !\u5|Add14~10\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010010100000101",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|PCM_Data~152_combout\,
        datad => VCC,
        cin => \u5|Add14~10\,
        combout => \u5|Add14~11_combout\,
        cout => \u5|Add14~12\);

\u5|Add14~13\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add14~13_combout\ = \u5|PCM_Data~149_combout\ & (GND # !\u5|Add14~12\) # !\u5|PCM_Data~149_combout\ & (\u5|Add14~12\ $ GND)
-- \u5|Add14~14\ = CARRY(\u5|PCM_Data~149_combout\ # !\u5|Add14~12\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0101101010101111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|PCM_Data~149_combout\,
        datad => VCC,
        cin => \u5|Add14~12\,
        combout => \u5|Add14~13_combout\,
        cout => \u5|Add14~14\);

\u5|Add14~19\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add14~19_combout\ = \u5|Add13~12_combout\ & (\u5|PCM_Data~147_combout\ & \u5|Add14~14\ & VCC # !\u5|PCM_Data~147_combout\ & !\u5|Add14~14\) # !\u5|Add13~12_combout\ & (!\u5|Add14~14\)
-- \u5|Add14~20\ = CARRY(!\u5|Add14~14\ & (!\u5|PCM_Data~147_combout\ # !\u5|Add13~12_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1000011100000111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Add13~12_combout\,
        datab => \u5|PCM_Data~147_combout\,
        datad => VCC,
        cin => \u5|Add14~14\,
        combout => \u5|Add14~19_combout\,
        cout => \u5|Add14~20\);

\u5|Add14~31\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add14~31_combout\ = \u5|LessThan12~3_combout\ & \u5|Add14~19_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100110000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u5|LessThan12~3_combout\,
        datad => \u5|Add14~19_combout\,
        combout => \u5|Add14~31_combout\);

\u5|Add14~15\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add14~15_combout\ = \u5|LessThan12~3_combout\ & \u5|Add14~13_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100110000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u5|LessThan12~3_combout\,
        datad => \u5|Add14~13_combout\,
        combout => \u5|Add14~15_combout\);

\u5|Add15~12\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add15~12_combout\ = \u5|Add14~27_combout\ & (GND # !\u5|Add15~11\) # !\u5|Add14~27_combout\ & (\u5|Add15~11\ $ GND)
-- \u5|Add15~13\ = CARRY(\u5|Add14~27_combout\ # !\u5|Add15~11\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0101101010101111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Add14~27_combout\,
        datad => VCC,
        cin => \u5|Add15~11\,
        combout => \u5|Add15~12_combout\,
        cout => \u5|Add15~13\);

\u5|Add15~14\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add15~14_combout\ = \u5|Add14~15_combout\ & \u5|Add15~13\ & VCC # !\u5|Add14~15_combout\ & !\u5|Add15~13\
-- \u5|Add15~15\ = CARRY(!\u5|Add14~15_combout\ & !\u5|Add15~13\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100001100000011",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u5|Add14~15_combout\,
        datad => VCC,
        cin => \u5|Add15~13\,
        combout => \u5|Add15~14_combout\,
        cout => \u5|Add15~15\);

\u5|Add15~16\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add15~16_combout\ = \u5|Add14~31_combout\ & (GND # !\u5|Add15~15\) # !\u5|Add14~31_combout\ & (\u5|Add15~15\ $ GND)
-- \u5|Add15~17\ = CARRY(\u5|Add14~31_combout\ # !\u5|Add15~15\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110011001111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u5|Add14~31_combout\,
        datad => VCC,
        cin => \u5|Add15~15\,
        combout => \u5|Add15~16_combout\,
        cout => \u5|Add15~17\);

\u5|Add16~19\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add16~19_combout\ = \u5|PCM_Data~157_combout\ & (\u5|Add15~16_combout\ & \u5|Add16~18\ & VCC # !\u5|Add15~16_combout\ & !\u5|Add16~18\) # !\u5|PCM_Data~157_combout\ & (!\u5|Add16~18\)
-- \u5|Add16~20\ = CARRY(!\u5|Add16~18\ & (!\u5|Add15~16_combout\ # !\u5|PCM_Data~157_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1000011100000111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|PCM_Data~157_combout\,
        datab => \u5|Add15~16_combout\,
        datad => VCC,
        cin => \u5|Add16~18\,
        combout => \u5|Add16~19_combout\,
        cout => \u5|Add16~20\);

\u5|Add16~34\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add16~34_combout\ = \u5|LessThan14~4_combout\ & \u5|Add16~19_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|LessThan14~4_combout\,
        datad => \u5|Add16~19_combout\,
        combout => \u5|Add16~34_combout\);

\u5|Add17~22\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add17~22_combout\ = \u5|Add16~31_combout\ & \u5|Add17~21\ & VCC # !\u5|Add16~31_combout\ & !\u5|Add17~21\
-- \u5|Add17~23\ = CARRY(!\u5|Add16~31_combout\ & !\u5|Add17~21\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010010100000101",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Add16~31_combout\,
        datad => VCC,
        cin => \u5|Add17~21\,
        combout => \u5|Add17~22_combout\,
        cout => \u5|Add17~23\);

\u5|Add10~22\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add10~22_combout\ = \u5|Add10~12_combout\ & \u5|LessThan8~2_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Add10~12_combout\,
        datad => \u5|LessThan8~2_combout\,
        combout => \u5|Add10~22_combout\);

\u5|Add11~12\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add11~12_combout\ = \u5|Add10~22_combout\ & (GND # !\u5|Add11~11\) # !\u5|Add10~22_combout\ & (\u5|Add11~11\ $ GND)
-- \u5|Add11~13\ = CARRY(\u5|Add10~22_combout\ # !\u5|Add11~11\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110011001111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u5|Add10~22_combout\,
        datad => VCC,
        cin => \u5|Add11~11\,
        combout => \u5|Add11~12_combout\,
        cout => \u5|Add11~13\);

\u5|Add12~17\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add12~17_combout\ = \u5|LessThan10~3_combout\ & \u5|Add12~15_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100110000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u5|LessThan10~3_combout\,
        datad => \u5|Add12~15_combout\,
        combout => \u5|Add12~17_combout\);

\u5|Add13~10\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add13~10_combout\ = \u5|Add12~18_combout\ & \u5|Add13~9\ & VCC # !\u5|Add12~18_combout\ & !\u5|Add13~9\
-- \u5|Add13~11\ = CARRY(!\u5|Add12~18_combout\ & !\u5|Add13~9\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100001100000011",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u5|Add12~18_combout\,
        datad => VCC,
        cin => \u5|Add13~9\,
        combout => \u5|Add13~10_combout\,
        cout => \u5|Add13~11\);

\u5|Add13~14\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add13~14_combout\ = \u5|Add12~19_combout\ & \u5|Add13~13\ & VCC # !\u5|Add12~19_combout\ & !\u5|Add13~13\
-- \u5|Add13~15\ = CARRY(!\u5|Add12~19_combout\ & !\u5|Add13~13\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100001100000011",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u5|Add12~19_combout\,
        datad => VCC,
        cin => \u5|Add13~13\,
        combout => \u5|Add13~14_combout\,
        cout => \u5|Add13~15\);

\u5|Add14~21\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add14~21_combout\ = \u5|Add14~20\ & ((\u5|PCM_Data~147_combout\ & \u5|Add13~14_combout\)) # !\u5|Add14~20\ & (\u5|PCM_Data~147_combout\ & \u5|Add13~14_combout\ # GND)
-- \u5|Add14~22\ = CARRY(\u5|PCM_Data~147_combout\ & \u5|Add13~14_combout\ # !\u5|Add14~20\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0111100010001111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|PCM_Data~147_combout\,
        datab => \u5|Add13~14_combout\,
        datad => VCC,
        cin => \u5|Add14~20\,
        combout => \u5|Add14~21_combout\,
        cout => \u5|Add14~22\);

\u5|Add14~23\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add14~23_combout\ = \u5|PCM_Data~147_combout\ & (\u5|Add13~16_combout\ & \u5|Add14~22\ & VCC # !\u5|Add13~16_combout\ & !\u5|Add14~22\) # !\u5|PCM_Data~147_combout\ & (!\u5|Add14~22\)
-- \u5|Add14~24\ = CARRY(!\u5|Add14~22\ & (!\u5|Add13~16_combout\ # !\u5|PCM_Data~147_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1000011100000111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|PCM_Data~147_combout\,
        datab => \u5|Add13~16_combout\,
        datad => VCC,
        cin => \u5|Add14~22\,
        combout => \u5|Add14~23_combout\,
        cout => \u5|Add14~24\);

\u5|Add14~25\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add14~25_combout\ = \u5|LessThan12~3_combout\ & \u5|Add14~23_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|LessThan12~3_combout\,
        datad => \u5|Add14~23_combout\,
        combout => \u5|Add14~25_combout\);

\u5|Add15~18\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add15~18_combout\ = \u5|Add14~32_combout\ & \u5|Add15~17\ & VCC # !\u5|Add14~32_combout\ & !\u5|Add15~17\
-- \u5|Add15~19\ = CARRY(!\u5|Add14~32_combout\ & !\u5|Add15~17\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010010100000101",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Add14~32_combout\,
        datad => VCC,
        cin => \u5|Add15~17\,
        combout => \u5|Add15~18_combout\,
        cout => \u5|Add15~19\);

\u5|Add15~20\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add15~20_combout\ = \u5|Add14~25_combout\ & (GND # !\u5|Add15~19\) # !\u5|Add14~25_combout\ & (\u5|Add15~19\ $ GND)
-- \u5|Add15~21\ = CARRY(\u5|Add14~25_combout\ # !\u5|Add15~19\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110011001111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u5|Add14~25_combout\,
        datad => VCC,
        cin => \u5|Add15~19\,
        combout => \u5|Add15~20_combout\,
        cout => \u5|Add15~21\);

\u5|Add16~23\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add16~23_combout\ = \u5|PCM_Data~157_combout\ & (\u5|Add15~20_combout\ & \u5|Add16~22\ & VCC # !\u5|Add15~20_combout\ & !\u5|Add16~22\) # !\u5|PCM_Data~157_combout\ & (!\u5|Add16~22\)
-- \u5|Add16~24\ = CARRY(!\u5|Add16~22\ & (!\u5|Add15~20_combout\ # !\u5|PCM_Data~157_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1000011100000111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|PCM_Data~157_combout\,
        datab => \u5|Add15~20_combout\,
        datad => VCC,
        cin => \u5|Add16~22\,
        combout => \u5|Add16~23_combout\,
        cout => \u5|Add16~24\);

\u5|Add16~27\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add16~27_combout\ = \u5|Add16~23_combout\ & \u5|LessThan14~4_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Add16~23_combout\,
        datad => \u5|LessThan14~4_combout\,
        combout => \u5|Add16~27_combout\);

\u5|Add17~24\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add17~24_combout\ = \u5|Add16~27_combout\ & (GND # !\u5|Add17~23\) # !\u5|Add16~27_combout\ & (\u5|Add17~23\ $ GND)
-- \u5|Add17~25\ = CARRY(\u5|Add16~27_combout\ # !\u5|Add17~23\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110011001111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u5|Add16~27_combout\,
        datad => VCC,
        cin => \u5|Add17~23\,
        combout => \u5|Add17~24_combout\,
        cout => \u5|Add17~25\);

\u5|LessThan16~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|LessThan16~0_combout\ = \u5|Add17~20_combout\ # \u5|Add17~22_combout\ # \u5|Add17~24_combout\ # \u5|Add17~26_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111111110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Add17~20_combout\,
        datab => \u5|Add17~22_combout\,
        datac => \u5|Add17~24_combout\,
        datad => \u5|Add17~26_combout\,
        combout => \u5|LessThan16~0_combout\);

\u5|LessThan16~1\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|LessThan16~1_combout\ = \u5|PCM_Data~172_combout\ # \u5|PCM_Data~171_combout\ & (\u5|Add17~18_combout\ # \u5|LessThan16~0_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111011001100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Add17~18_combout\,
        datab => \u5|PCM_Data~172_combout\,
        datac => \u5|LessThan16~0_combout\,
        datad => \u5|PCM_Data~171_combout\,
        combout => \u5|LessThan16~1_combout\);

\u5|Add18~3\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add18~3_combout\ = \u5|LessThan16~2_combout\ & \u5|Add18~0_combout\ # !\u5|LessThan16~2_combout\ & (\u5|LessThan16~1_combout\ & \u5|Add18~0_combout\ # !\u5|LessThan16~1_combout\ & (\u5|Add18~2_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010101010101100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Add18~0_combout\,
        datab => \u5|Add18~2_combout\,
        datac => \u5|LessThan16~2_combout\,
        datad => \u5|LessThan16~1_combout\,
        combout => \u5|Add18~3_combout\);

\u5|Add19~4\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add19~4_combout\ = \u5|Add18~39_combout\ & (GND # !\u5|Add19~3\) # !\u5|Add18~39_combout\ & (\u5|Add19~3\ $ GND)
-- \u5|Add19~5\ = CARRY(\u5|Add18~39_combout\ # !\u5|Add19~3\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110011001111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u5|Add18~39_combout\,
        datad => VCC,
        cin => \u5|Add19~3\,
        combout => \u5|Add19~4_combout\,
        cout => \u5|Add19~5\);

\u5|Mux58~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Mux58~0_combout\ = \u5|Mux45~4_combout\ & \u5|Add19~4_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100110000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u5|Mux45~4_combout\,
        datad => \u5|Add19~4_combout\,
        combout => \u5|Mux58~0_combout\);

\u5|Last_PCM_Data[2]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u5|Add2~22_combout\,
        ena => \u5|Last_PCM_Data[15]~17_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u5|Last_PCM_Data\(2));

\u5|PCM_Data[2]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u5|Mux58~0_combout\,
        sdata => \u5|Last_PCM_Data\(2),
        sload => \u6|ADPCM_Decoder_State_Counter\(0),
        ena => \u5|PCM_Data[15]~182_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u5|PCM_Data\(2));

\u5|PCM_Data~172\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|PCM_Data~172_combout\ = \u5|PCM_Data~171_combout\ & (\u5|Add17~0_combout\) # !\u5|PCM_Data~171_combout\ & \u5|PCM_Data\(2)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000011001100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u5|PCM_Data\(2),
        datac => \u5|Add17~0_combout\,
        datad => \u5|PCM_Data~171_combout\,
        combout => \u5|PCM_Data~172_combout\);

\u5|Add18~39\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add18~39_combout\ = \u5|LessThan16~5_combout\ & \u5|Add18~4_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|LessThan16~5_combout\,
        datad => \u5|Add18~4_combout\,
        combout => \u5|Add18~39_combout\);

\u5|Mux56~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Mux56~0_combout\ = \u5|Add19~8_combout\ & \u5|Mux45~4_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100110000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u5|Add19~8_combout\,
        datad => \u5|Mux45~4_combout\,
        combout => \u5|Mux56~0_combout\);

\u5|PCM_Data[4]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u5|Mux56~0_combout\,
        sdata => \u5|Last_PCM_Data\(4),
        sload => \u6|ADPCM_Decoder_State_Counter\(0),
        ena => \u5|PCM_Data[15]~182_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u5|PCM_Data\(4));

\u5|LessThan2~1\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|LessThan2~1_combout\ = !\u5|PCM_Data\(4) & !\u5|PCM_Data\(5)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000001100000011",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u5|PCM_Data\(4),
        datac => \u5|PCM_Data\(5),
        combout => \u5|LessThan2~1_combout\);

\u5|LessThan2~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|LessThan2~0_combout\ = !\u5|PCM_Data\(0) & !\u5|PCM_Data\(1) & !\u5|PCM_Data\(3) & !\u5|PCM_Data\(2)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000000000001",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|PCM_Data\(0),
        datab => \u5|PCM_Data\(1),
        datac => \u5|PCM_Data\(3),
        datad => \u5|PCM_Data\(2),
        combout => \u5|LessThan2~0_combout\);

\u5|LessThan2~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|LessThan2~2_combout\ = !\u5|PCM_Data\(7) & \u5|LessThan2~1_combout\ & \u5|LessThan2~0_combout\ & !\u5|PCM_Data\(6)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000001000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|PCM_Data\(7),
        datab => \u5|LessThan2~1_combout\,
        datac => \u5|LessThan2~0_combout\,
        datad => \u5|PCM_Data\(6),
        combout => \u5|LessThan2~2_combout\);

\u5|Add10~14\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add10~14_combout\ = \u5|PCM_Data\(8) # !\u5|LessThan2~2_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111100110011",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u5|LessThan2~2_combout\,
        datad => \u5|PCM_Data\(8),
        combout => \u5|Add10~14_combout\);

\u5|Add10~20\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add10~20_combout\ = \u5|Add10~6_combout\ & (\u5|LessThan8~1_combout\ # \u5|PCM_Data\(9) & \u5|Add10~14_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111100000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|PCM_Data\(9),
        datab => \u5|Add10~14_combout\,
        datac => \u5|LessThan8~1_combout\,
        datad => \u5|Add10~6_combout\,
        combout => \u5|Add10~20_combout\);

\u5|PCM_Data~142\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|PCM_Data~142_combout\ = \u5|Add11~6_combout\ & \u5|LessThan9~2_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Add11~6_combout\,
        datad => \u5|LessThan9~2_combout\,
        combout => \u5|PCM_Data~142_combout\);

\u5|PCM_Data~141\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|PCM_Data~141_combout\ = \u5|LessThan9~2_combout\ & \u5|Add11~4_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100110000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u5|LessThan9~2_combout\,
        datad => \u5|Add11~4_combout\,
        combout => \u5|PCM_Data~141_combout\);

\u5|Add11~14\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add11~14_combout\ = \u5|Add10~19_combout\ $ !\u5|Add11~13\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100001111000011",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u5|Add10~19_combout\,
        cin => \u5|Add11~13\,
        combout => \u5|Add11~14_combout\);

\u5|LessThan10~1\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|LessThan10~1_combout\ = \u5|Add11~8_combout\ # \u5|Add11~2_combout\ # \u5|Add11~14_combout\ # \u5|Add11~10_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111111110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Add11~8_combout\,
        datab => \u5|Add11~2_combout\,
        datac => \u5|Add11~14_combout\,
        datad => \u5|Add11~10_combout\,
        combout => \u5|LessThan10~1_combout\);

\u5|LessThan10~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|LessThan10~2_combout\ = \u5|LessThan10~0_combout\ # \u5|LessThan9~2_combout\ & (\u5|Add11~12_combout\ # \u5|LessThan10~1_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1110111011101010",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|LessThan10~0_combout\,
        datab => \u5|LessThan9~2_combout\,
        datac => \u5|Add11~12_combout\,
        datad => \u5|LessThan10~1_combout\,
        combout => \u5|LessThan10~2_combout\);

\u5|LessThan10~3\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|LessThan10~3_combout\ = \u5|PCM_Data~140_combout\ # \u5|PCM_Data~142_combout\ # \u5|PCM_Data~141_combout\ # \u5|LessThan10~2_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111111110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|PCM_Data~140_combout\,
        datab => \u5|PCM_Data~142_combout\,
        datac => \u5|PCM_Data~141_combout\,
        datad => \u5|LessThan10~2_combout\,
        combout => \u5|LessThan10~3_combout\);

\u5|Add12~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add12~2_combout\ = \u5|LessThan10~3_combout\ & (\u5|Add12~0_combout\) # !\u5|LessThan10~3_combout\ & \u5|PCM_Data\(7)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000010101010",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|PCM_Data\(7),
        datac => \u5|Add12~0_combout\,
        datad => \u5|LessThan10~3_combout\,
        combout => \u5|Add12~2_combout\);

\u5|PCM_Data~153\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|PCM_Data~153_combout\ = \u5|Add13~4_combout\ & \u5|PCM_Data~147_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Add13~4_combout\,
        datad => \u5|PCM_Data~147_combout\,
        combout => \u5|PCM_Data~153_combout\);

\u5|Add14~17\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add14~17_combout\ = \u5|LessThan12~3_combout\ & \u5|Add14~7_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|LessThan12~3_combout\,
        datad => \u5|Add14~7_combout\,
        combout => \u5|Add14~17_combout\);

\u5|PCM_Data~161\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|PCM_Data~161_combout\ = \u5|PCM_Data~157_combout\ & \u5|Add15~8_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|PCM_Data~157_combout\,
        datad => \u5|Add15~8_combout\,
        combout => \u5|PCM_Data~161_combout\);

\u5|PCM_Data~170\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|PCM_Data~170_combout\ = \u5|PCM_Data~169_combout\ # \u5|LessThan14~4_combout\ & (\u5|Add16~3_combout\ # \u5|Add16~11_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1110111011101010",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|PCM_Data~169_combout\,
        datab => \u5|LessThan14~4_combout\,
        datac => \u5|Add16~3_combout\,
        datad => \u5|Add16~11_combout\,
        combout => \u5|PCM_Data~170_combout\);

\u5|Add16~32\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add16~32_combout\ = \u5|LessThan14~4_combout\ & \u5|Add16~13_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|LessThan14~4_combout\,
        datad => \u5|Add16~13_combout\,
        combout => \u5|Add16~32_combout\);

\u5|PCM_Data~168\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|PCM_Data~168_combout\ = \u5|Add16~31_combout\ # \u5|Add16~34_combout\ # \u5|Add16~32_combout\ # \u5|Add16~33_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111111110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Add16~31_combout\,
        datab => \u5|Add16~34_combout\,
        datac => \u5|Add16~32_combout\,
        datad => \u5|Add16~33_combout\,
        combout => \u5|PCM_Data~168_combout\);

\u5|Add14~28\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add14~28_combout\ = \u5|Add14~24\ $ (\u5|Add13~18_combout\ & \u5|PCM_Data~147_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0101101011110000",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Add13~18_combout\,
        datad => \u5|PCM_Data~147_combout\,
        cin => \u5|Add14~24\,
        combout => \u5|Add14~28_combout\);

\u5|Add14~30\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add14~30_combout\ = \u5|Add14~28_combout\ & \u5|LessThan12~3_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Add14~28_combout\,
        datad => \u5|LessThan12~3_combout\,
        combout => \u5|Add14~30_combout\);

\u5|Add15~22\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add15~22_combout\ = \u5|Add15~21\ $ !\u5|Add14~30_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000001111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datad => \u5|Add14~30_combout\,
        cin => \u5|Add15~21\,
        combout => \u5|Add15~22_combout\);

\u5|Add16~25\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add16~25_combout\ = \u5|Add16~24\ $ (\u5|PCM_Data~157_combout\ & \u5|Add15~22_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0101101011110000",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u5|PCM_Data~157_combout\,
        datad => \u5|Add15~22_combout\,
        cin => \u5|Add16~24\,
        combout => \u5|Add16~25_combout\);

\u5|PCM_Data~166\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|PCM_Data~166_combout\ = \u5|Add16~2_combout\ # \u5|LessThan14~4_combout\ & (\u5|Add16~25_combout\ # \u5|Add16~17_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111110101000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|LessThan14~4_combout\,
        datab => \u5|Add16~25_combout\,
        datac => \u5|Add16~17_combout\,
        datad => \u5|Add16~2_combout\,
        combout => \u5|PCM_Data~166_combout\);

\u5|PCM_Data~171\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|PCM_Data~171_combout\ = \u5|PCM_Data~167_combout\ # \u5|PCM_Data~170_combout\ # \u5|PCM_Data~168_combout\ # \u5|PCM_Data~166_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111111110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|PCM_Data~167_combout\,
        datab => \u5|PCM_Data~170_combout\,
        datac => \u5|PCM_Data~168_combout\,
        datad => \u5|PCM_Data~166_combout\,
        combout => \u5|PCM_Data~171_combout\);

\u5|LessThan16~3\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|LessThan16~3_combout\ = \u5|PCM_Data~171_combout\ & (\u5|Add17~8_combout\ # \u5|Add17~10_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100110011000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u5|PCM_Data~171_combout\,
        datac => \u5|Add17~8_combout\,
        datad => \u5|Add17~10_combout\,
        combout => \u5|LessThan16~3_combout\);

\u5|PCM_Data~178\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|PCM_Data~178_combout\ = \u5|PCM_Data~171_combout\ & \u5|Add17~14_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|PCM_Data~171_combout\,
        datad => \u5|Add17~14_combout\,
        combout => \u5|PCM_Data~178_combout\);

\u5|PCM_Data~177\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|PCM_Data~177_combout\ = \u5|PCM_Data~171_combout\ & \u5|Add17~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100000011000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u5|PCM_Data~171_combout\,
        datac => \u5|Add17~12_combout\,
        combout => \u5|PCM_Data~177_combout\);

\u5|LessThan16~4\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|LessThan16~4_combout\ = \u5|PCM_Data~178_combout\ # \u5|PCM_Data~177_combout\ # \u5|PCM_Data\(0) & \u5|PCM_Data\(1)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111111000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|PCM_Data\(0),
        datab => \u5|PCM_Data\(1),
        datac => \u5|PCM_Data~178_combout\,
        datad => \u5|PCM_Data~177_combout\,
        combout => \u5|LessThan16~4_combout\);

\u5|LessThan16~5\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|LessThan16~5_combout\ = \u5|LessThan16~2_combout\ # \u5|LessThan16~3_combout\ # \u5|LessThan16~4_combout\ # \u5|LessThan16~1_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111111110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|LessThan16~2_combout\,
        datab => \u5|LessThan16~3_combout\,
        datac => \u5|LessThan16~4_combout\,
        datad => \u5|LessThan16~1_combout\,
        combout => \u5|LessThan16~5_combout\);

\u5|Add18~37\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add18~37_combout\ = \u5|LessThan16~5_combout\ & \u5|Add18~10_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|LessThan16~5_combout\,
        datad => \u5|Add18~10_combout\,
        combout => \u5|Add18~37_combout\);

\u5|Mux55~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Mux55~0_combout\ = \u5|Add19~10_combout\ & \u5|Mux45~4_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100110000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u5|Add19~10_combout\,
        datad => \u5|Mux45~4_combout\,
        combout => \u5|Mux55~0_combout\);

\u5|PCM_Data[5]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u5|Mux55~0_combout\,
        sdata => \u5|Last_PCM_Data\(5),
        sload => \u6|ADPCM_Decoder_State_Counter\(0),
        ena => \u5|PCM_Data[15]~182_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u5|PCM_Data\(5));

\u5|LessThan2~7\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|LessThan2~7_combout\ = \u5|PCM_Data\(4) # !\u5|LessThan2~0_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111100001111",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|LessThan2~0_combout\,
        datad => \u5|PCM_Data\(4),
        combout => \u5|LessThan2~7_combout\);

\u5|PCM_Data~149\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|PCM_Data~149_combout\ = \u5|Add13~10_combout\ & \u5|PCM_Data~147_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100110000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u5|Add13~10_combout\,
        datad => \u5|PCM_Data~147_combout\,
        combout => \u5|PCM_Data~149_combout\);

\u5|LessThan12~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|LessThan12~2_combout\ = \u5|PCM_Data~152_combout\ # \u5|PCM_Data~151_combout\ # \u5|PCM_Data~149_combout\ # \u5|PCM_Data~150_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111111110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|PCM_Data~152_combout\,
        datab => \u5|PCM_Data~151_combout\,
        datac => \u5|PCM_Data~149_combout\,
        datad => \u5|PCM_Data~150_combout\,
        combout => \u5|LessThan12~2_combout\);

\u5|LessThan12~3\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|LessThan12~3_combout\ = \u5|LessThan12~1_combout\ # \u5|LessThan12~2_combout\ # \u5|PCM_Data\(5) & \u5|LessThan2~7_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111101010",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|LessThan12~1_combout\,
        datab => \u5|PCM_Data\(5),
        datac => \u5|LessThan2~7_combout\,
        datad => \u5|LessThan12~2_combout\,
        combout => \u5|LessThan12~3_combout\);

\u5|Add14~27\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add14~27_combout\ = \u5|LessThan12~3_combout\ & \u5|Add14~11_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100110000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u5|LessThan12~3_combout\,
        datad => \u5|Add14~11_combout\,
        combout => \u5|Add14~27_combout\);

\u5|Add14~16\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add14~16_combout\ = \u5|Add14~5_combout\ & \u5|LessThan12~3_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Add14~5_combout\,
        datad => \u5|LessThan12~3_combout\,
        combout => \u5|Add14~16_combout\);

\u5|Add14~26\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add14~26_combout\ = \u5|LessThan12~3_combout\ & \u5|Add14~9_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|LessThan12~3_combout\,
        datad => \u5|Add14~9_combout\,
        combout => \u5|Add14~26_combout\);

\u5|PCM_Data~154\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|PCM_Data~154_combout\ = \u5|Add14~18_combout\ # \u5|Add14~25_combout\ # \u5|Add14~17_combout\ # \u5|Add14~26_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111111110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Add14~18_combout\,
        datab => \u5|Add14~25_combout\,
        datac => \u5|Add14~17_combout\,
        datad => \u5|Add14~26_combout\,
        combout => \u5|PCM_Data~154_combout\);

\u5|PCM_Data~155\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|PCM_Data~155_combout\ = \u5|Add14~2_combout\ # \u5|Add14~15_combout\ # \u5|Add14~16_combout\ # \u5|PCM_Data~154_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111111110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Add14~2_combout\,
        datab => \u5|Add14~15_combout\,
        datac => \u5|Add14~16_combout\,
        datad => \u5|PCM_Data~154_combout\,
        combout => \u5|PCM_Data~155_combout\);

\u5|Add14~32\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add14~32_combout\ = \u5|LessThan12~3_combout\ & \u5|Add14~21_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100110000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u5|LessThan12~3_combout\,
        datad => \u5|Add14~21_combout\,
        combout => \u5|Add14~32_combout\);

\u5|PCM_Data~156\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|PCM_Data~156_combout\ = \u5|Add14~31_combout\ # \u5|Add14~32_combout\ # !\u5|LessThan2~0_combout\ & \u5|PCM_Data\(4)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111011100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|LessThan2~0_combout\,
        datab => \u5|Add14~31_combout\,
        datac => \u5|PCM_Data\(4),
        datad => \u5|Add14~32_combout\,
        combout => \u5|PCM_Data~156_combout\);

\u5|PCM_Data~157\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|PCM_Data~157_combout\ = \u5|Add14~30_combout\ # \u5|Add14~27_combout\ # \u5|PCM_Data~155_combout\ # \u5|PCM_Data~156_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111111110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Add14~30_combout\,
        datab => \u5|Add14~27_combout\,
        datac => \u5|PCM_Data~155_combout\,
        datad => \u5|PCM_Data~156_combout\,
        combout => \u5|PCM_Data~157_combout\);

\u5|LessThan14~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|LessThan14~0_combout\ = \u5|Add15~18_combout\ # \u5|Add15~22_combout\ # \u5|Add15~20_combout\ # \u5|Add15~16_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111111110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Add15~18_combout\,
        datab => \u5|Add15~22_combout\,
        datac => \u5|Add15~20_combout\,
        datad => \u5|Add15~16_combout\,
        combout => \u5|LessThan14~0_combout\);

\u5|LessThan14~1\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|LessThan14~1_combout\ = \u5|PCM_Data~157_combout\ & (\u5|Add15~10_combout\ # \u5|LessThan14~0_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100110011000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u5|PCM_Data~157_combout\,
        datac => \u5|Add15~10_combout\,
        datad => \u5|LessThan14~0_combout\,
        combout => \u5|LessThan14~1_combout\);

\u5|PCM_Data~164\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|PCM_Data~164_combout\ = \u5|Add15~2_combout\ & \u5|PCM_Data~157_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010101000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Add15~2_combout\,
        datad => \u5|PCM_Data~157_combout\,
        combout => \u5|PCM_Data~164_combout\);

\u5|PCM_Data~163\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|PCM_Data~163_combout\ = \u5|Add15~14_combout\ & \u5|PCM_Data~157_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Add15~14_combout\,
        datad => \u5|PCM_Data~157_combout\,
        combout => \u5|PCM_Data~163_combout\);

\u5|LessThan14~3\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|LessThan14~3_combout\ = \u5|PCM_Data~164_combout\ # \u5|PCM_Data~163_combout\ # !\u5|LessThan2~8_combout\ & \u5|PCM_Data\(3)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111110100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|LessThan2~8_combout\,
        datab => \u5|PCM_Data\(3),
        datac => \u5|PCM_Data~164_combout\,
        datad => \u5|PCM_Data~163_combout\,
        combout => \u5|LessThan14~3_combout\);

\u5|PCM_Data~162\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|PCM_Data~162_combout\ = \u5|PCM_Data~157_combout\ & \u5|Add15~12_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|PCM_Data~157_combout\,
        datad => \u5|Add15~12_combout\,
        combout => \u5|PCM_Data~162_combout\);

\u5|LessThan14~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|LessThan14~2_combout\ = \u5|PCM_Data~159_combout\ # \u5|PCM_Data~160_combout\ # \u5|PCM_Data~162_combout\ # \u5|PCM_Data~161_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111111110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|PCM_Data~159_combout\,
        datab => \u5|PCM_Data~160_combout\,
        datac => \u5|PCM_Data~162_combout\,
        datad => \u5|PCM_Data~161_combout\,
        combout => \u5|LessThan14~2_combout\);

\u5|LessThan14~4\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|LessThan14~4_combout\ = \u5|PCM_Data~158_combout\ # \u5|LessThan14~1_combout\ # \u5|LessThan14~3_combout\ # \u5|LessThan14~2_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111111110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|PCM_Data~158_combout\,
        datab => \u5|LessThan14~1_combout\,
        datac => \u5|LessThan14~3_combout\,
        datad => \u5|LessThan14~2_combout\,
        combout => \u5|LessThan14~4_combout\);

\u5|Add16~38\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add16~38_combout\ = \u5|LessThan14~4_combout\ & \u5|Add16~25_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|LessThan14~4_combout\,
        datad => \u5|Add16~25_combout\,
        combout => \u5|Add16~38_combout\);

\u5|Add17~26\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add17~26_combout\ = \u5|Add16~38_combout\ $ !\u5|Add17~25\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100001111000011",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u5|Add16~38_combout\,
        cin => \u5|Add17~25\,
        combout => \u5|Add17~26_combout\);

\u5|Add18~30\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add18~30_combout\ = \u5|Add18~29\ $ (\u5|Add17~26_combout\ & \u5|PCM_Data~171_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110011110000",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u5|Add17~26_combout\,
        datad => \u5|PCM_Data~171_combout\,
        cin => \u5|Add18~29\,
        combout => \u5|Add18~30_combout\);

\u5|Mux45~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Mux45~0_combout\ = \u5|Add18~3_combout\ # \u5|LessThan16~5_combout\ & (\u5|Add18~30_combout\ # \u5|Add18~22_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111010101010",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Add18~3_combout\,
        datab => \u5|Add18~30_combout\,
        datac => \u5|Add18~22_combout\,
        datad => \u5|LessThan16~5_combout\,
        combout => \u5|Mux45~0_combout\);

\u5|Add18~32\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Add18~32_combout\ = \u5|Add18~12_combout\ & \u5|LessThan16~5_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u5|Add18~12_combout\,
        datad => \u5|LessThan16~5_combout\,
        combout => \u5|Add18~32_combout\);

\u5|Mux45~1\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Mux45~1_combout\ = \u5|Add18~33_combout\ # \u5|Add18~32_combout\ # \u5|Add18~34_combout\ # \u5|Add18~35_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111111110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Add18~33_combout\,
        datab => \u5|Add18~32_combout\,
        datac => \u5|Add18~34_combout\,
        datad => \u5|Add18~35_combout\,
        combout => \u5|Mux45~1_combout\);

\u5|Mux45~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Mux45~2_combout\ = \u5|Add18~36_combout\ # \u5|Add18~39_combout\ # \u5|Add18~37_combout\ # \u5|Add18~38_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111111110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Add18~36_combout\,
        datab => \u5|Add18~39_combout\,
        datac => \u5|Add18~37_combout\,
        datad => \u5|Add18~38_combout\,
        combout => \u5|Mux45~2_combout\);

\u5|Mux45~4\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Mux45~4_combout\ = \u5|Mux45~3_combout\ # \u5|Mux45~0_combout\ # \u5|Mux45~1_combout\ # \u5|Mux45~2_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111111110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|Mux45~3_combout\,
        datab => \u5|Mux45~0_combout\,
        datac => \u5|Mux45~1_combout\,
        datad => \u5|Mux45~2_combout\,
        combout => \u5|Mux45~4_combout\);

\u5|Mux46~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|Mux46~0_combout\ = \u5|Mux45~4_combout\ & \u5|Add19~28_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100110000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u5|Mux45~4_combout\,
        datad => \u5|Add19~28_combout\,
        combout => \u5|Mux46~0_combout\);

\u5|PCM_Data[14]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u5|Mux46~0_combout\,
        sdata => \u5|Last_PCM_Data\(14),
        sload => \u6|ADPCM_Decoder_State_Counter\(0),
        ena => \u5|PCM_Data[15]~182_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u5|PCM_Data\(14));

\u5|LessThan3~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|LessThan3~0_combout\ = \u5|PCM_Data\(14) & (\u5|LessThan2~4_combout\ # \u5|PCM_Data\(13)) # !\u5|PCM_Data\(14) & \u5|PCM_Data\(15) & !\u5|LessThan2~4_combout\ & !\u5|PCM_Data\(13)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100110011000010",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|PCM_Data\(15),
        datab => \u5|PCM_Data\(14),
        datac => \u5|LessThan2~4_combout\,
        datad => \u5|PCM_Data\(13),
        combout => \u5|LessThan3~0_combout\);

\u5|PCM_DATA_OUT[14]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u5|LessThan3~0_combout\,
        ena => \u5|PCM_DATA_OUT[15]~5_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u5|PCM_DATA_OUT\(14));

\u3|Mux0~28\ : cycloneii_lcell_comb
-- Equation(s):
-- \u3|Mux0~28_combout\ = \u3|I2S_Stream_Counter\(2) & (\u5|PCM_DATA_OUT\(10) # \u3|I2S_Stream_Counter\(3)) # !\u3|I2S_Stream_Counter\(2) & (!\u3|I2S_Stream_Counter\(3) & \u5|PCM_DATA_OUT\(14))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100101111001000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|PCM_DATA_OUT\(10),
        datab => \u3|I2S_Stream_Counter\(2),
        datac => \u3|I2S_Stream_Counter\(3),
        datad => \u5|PCM_DATA_OUT\(14),
        combout => \u3|Mux0~28_combout\);

\u5|PCM_DATA_OUT[2]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u5|PCM_Data~171_combout\,
        ena => \u5|PCM_DATA_OUT[15]~5_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u5|PCM_DATA_OUT\(2));

\u3|Mux0~29\ : cycloneii_lcell_comb
-- Equation(s):
-- \u3|Mux0~29_combout\ = \u3|Mux0~28_combout\ & (\u5|PCM_DATA_OUT\(2) # !\u3|I2S_Stream_Counter\(3)) # !\u3|Mux0~28_combout\ & \u5|PCM_DATA_OUT\(6) & \u3|I2S_Stream_Counter\(3)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1110110000101100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|PCM_DATA_OUT\(6),
        datab => \u3|Mux0~28_combout\,
        datac => \u3|I2S_Stream_Counter\(3),
        datad => \u5|PCM_DATA_OUT\(2),
        combout => \u3|Mux0~29_combout\);

\u5|PCM_DATA_OUT[5]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u5|LessThan12~3_combout\,
        ena => \u5|PCM_DATA_OUT[15]~5_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u5|PCM_DATA_OUT\(5));

\u5|LessThan4~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|LessThan4~0_combout\ = \u5|LessThan2~4_combout\ & (\u5|PCM_Data\(13)) # !\u5|LessThan2~4_combout\ & !\u5|PCM_Data\(13) & (\u5|PCM_Data\(15) # \u5|PCM_Data\(14))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000001110",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|PCM_Data\(15),
        datab => \u5|PCM_Data\(14),
        datac => \u5|LessThan2~4_combout\,
        datad => \u5|PCM_Data\(13),
        combout => \u5|LessThan4~0_combout\);

\u5|PCM_DATA_OUT[13]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u5|LessThan4~0_combout\,
        ena => \u5|PCM_DATA_OUT[15]~5_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u5|PCM_DATA_OUT\(13));

\u3|Mux0~35\ : cycloneii_lcell_comb
-- Equation(s):
-- \u3|Mux0~35_combout\ = \u3|I2S_Stream_Counter\(3) & (\u3|I2S_Stream_Counter\(2) # \u5|PCM_DATA_OUT\(5)) # !\u3|I2S_Stream_Counter\(3) & !\u3|I2S_Stream_Counter\(2) & (\u5|PCM_DATA_OUT\(13))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1011100110101000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u3|I2S_Stream_Counter\(3),
        datab => \u3|I2S_Stream_Counter\(2),
        datac => \u5|PCM_DATA_OUT\(5),
        datad => \u5|PCM_DATA_OUT\(13),
        combout => \u3|Mux0~35_combout\);

\u5|PCM_DATA_OUT[1]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u5|LessThan16~5_combout\,
        ena => \u5|PCM_DATA_OUT[15]~5_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u5|PCM_DATA_OUT\(1));

\u3|Mux0~36\ : cycloneii_lcell_comb
-- Equation(s):
-- \u3|Mux0~36_combout\ = \u3|I2S_Stream_Counter\(2) & (\u3|Mux0~35_combout\ & (\u5|PCM_DATA_OUT\(1)) # !\u3|Mux0~35_combout\ & \u5|PCM_DATA_OUT\(9)) # !\u3|I2S_Stream_Counter\(2) & (\u3|Mux0~35_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111100000111000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|PCM_DATA_OUT\(9),
        datab => \u3|I2S_Stream_Counter\(2),
        datac => \u3|Mux0~35_combout\,
        datad => \u5|PCM_DATA_OUT\(1),
        combout => \u3|Mux0~36_combout\);

\u5|PCM_DATA_OUT[8]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u5|LessThan9~2_combout\,
        ena => \u5|PCM_DATA_OUT[15]~5_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u5|PCM_DATA_OUT\(8));

\u5|PCM_DATA_OUT[4]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u5|PCM_Data~157_combout\,
        ena => \u5|PCM_DATA_OUT[15]~5_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u5|PCM_DATA_OUT\(4));

\u3|Mux0~32\ : cycloneii_lcell_comb
-- Equation(s):
-- \u3|Mux0~32_combout\ = \u3|I2S_Stream_Counter\(3) & (\u5|PCM_DATA_OUT\(4)) # !\u3|I2S_Stream_Counter\(3) & \u5|PCM_DATA_OUT\(12)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100101011001010",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|PCM_DATA_OUT\(12),
        datab => \u5|PCM_DATA_OUT\(4),
        datac => \u3|I2S_Stream_Counter\(3),
        combout => \u3|Mux0~32_combout\);

\u3|Mux0~33\ : cycloneii_lcell_comb
-- Equation(s):
-- \u3|Mux0~33_combout\ = \u3|I2S_Stream_Counter\(2) & (\u3|Mux0~32_combout\) # !\u3|I2S_Stream_Counter\(2) & \u3|I2S_Stream_Counter\(3) & \u5|PCM_DATA_OUT\(8)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1110110000100000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u3|I2S_Stream_Counter\(3),
        datab => \u3|I2S_Stream_Counter\(2),
        datac => \u5|PCM_DATA_OUT\(8),
        datad => \u3|Mux0~32_combout\,
        combout => \u3|Mux0~33_combout\);

\u5|PCM_DATA_OUT[11]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u5|LessThan6~0_combout\,
        ena => \u5|PCM_DATA_OUT[15]~5_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u5|PCM_DATA_OUT\(11));

\u5|LessThan2~5\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|LessThan2~5_combout\ = \u5|PCM_Data\(15) & (\u5|PCM_Data\(14) # \u5|LessThan2~4_combout\ # \u5|PCM_Data\(13))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010101010101000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|PCM_Data\(15),
        datab => \u5|PCM_Data\(14),
        datac => \u5|LessThan2~4_combout\,
        datad => \u5|PCM_Data\(13),
        combout => \u5|LessThan2~5_combout\);

\u5|PCM_DATA_OUT[15]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u5|LessThan2~5_combout\,
        ena => \u5|PCM_DATA_OUT[15]~5_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u5|PCM_DATA_OUT\(15));

\u3|Mux0~30\ : cycloneii_lcell_comb
-- Equation(s):
-- \u3|Mux0~30_combout\ = \u3|I2S_Stream_Counter\(2) & (\u3|I2S_Stream_Counter\(3)) # !\u3|I2S_Stream_Counter\(2) & (\u3|I2S_Stream_Counter\(3) & \u5|PCM_DATA_OUT\(7) # !\u3|I2S_Stream_Counter\(3) & (\u5|PCM_DATA_OUT\(15)))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1110001111100000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|PCM_DATA_OUT\(7),
        datab => \u3|I2S_Stream_Counter\(2),
        datac => \u3|I2S_Stream_Counter\(3),
        datad => \u5|PCM_DATA_OUT\(15),
        combout => \u3|Mux0~30_combout\);

\u3|Mux0~31\ : cycloneii_lcell_comb
-- Equation(s):
-- \u3|Mux0~31_combout\ = \u3|I2S_Stream_Counter\(2) & (\u3|Mux0~30_combout\ & \u5|PCM_DATA_OUT\(3) # !\u3|Mux0~30_combout\ & (\u5|PCM_DATA_OUT\(11))) # !\u3|I2S_Stream_Counter\(2) & (\u3|Mux0~30_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010111111000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|PCM_DATA_OUT\(3),
        datab => \u5|PCM_DATA_OUT\(11),
        datac => \u3|I2S_Stream_Counter\(2),
        datad => \u3|Mux0~30_combout\,
        combout => \u3|Mux0~31_combout\);

\u3|Mux0~34\ : cycloneii_lcell_comb
-- Equation(s):
-- \u3|Mux0~34_combout\ = \u3|I2S_Stream_Counter\(1) & \u3|I2S_Stream_Counter\(0) # !\u3|I2S_Stream_Counter\(1) & (\u3|I2S_Stream_Counter\(0) & (\u3|Mux0~31_combout\) # !\u3|I2S_Stream_Counter\(0) & \u3|Mux0~33_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1101110010011000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u3|I2S_Stream_Counter\(1),
        datab => \u3|I2S_Stream_Counter\(0),
        datac => \u3|Mux0~33_combout\,
        datad => \u3|Mux0~31_combout\,
        combout => \u3|Mux0~34_combout\);

\u3|Mux0~37\ : cycloneii_lcell_comb
-- Equation(s):
-- \u3|Mux0~37_combout\ = \u3|I2S_Stream_Counter\(1) & (\u3|Mux0~34_combout\ & (\u3|Mux0~36_combout\) # !\u3|Mux0~34_combout\ & \u3|Mux0~29_combout\) # !\u3|I2S_Stream_Counter\(1) & (\u3|Mux0~34_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111010110001000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u3|I2S_Stream_Counter\(1),
        datab => \u3|Mux0~29_combout\,
        datac => \u3|Mux0~36_combout\,
        datad => \u3|Mux0~34_combout\,
        combout => \u3|Mux0~37_combout\);

\u6|PCM_DATA_OUT[2]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u6|LessThan15~5_combout\,
        ena => \u5|PCM_DATA_OUT[15]~5_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u6|PCM_DATA_OUT\(2));

\u6|PCM_DATA_OUT[3]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u6|LessThan14~4_combout\,
        ena => \u5|PCM_DATA_OUT[15]~5_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u6|PCM_DATA_OUT\(3));

\u3|Mux0~26\ : cycloneii_lcell_comb
-- Equation(s):
-- \u3|Mux0~26_combout\ = \u3|I2S_Stream_Counter\(0) & (\u3|I2S_Stream_Counter\(1)) # !\u3|I2S_Stream_Counter\(0) & (\u3|I2S_Stream_Counter\(1) & \u6|PCM_DATA_OUT\(1) # !\u3|I2S_Stream_Counter\(1) & (\u6|PCM_DATA_OUT\(3)))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111101000001100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|PCM_DATA_OUT\(1),
        datab => \u6|PCM_DATA_OUT\(3),
        datac => \u3|I2S_Stream_Counter\(0),
        datad => \u3|I2S_Stream_Counter\(1),
        combout => \u3|Mux0~26_combout\);

\u3|Mux0~27\ : cycloneii_lcell_comb
-- Equation(s):
-- \u3|Mux0~27_combout\ = \u3|I2S_Stream_Counter\(0) & (\u3|Mux0~26_combout\ & \u6|PCM_DATA_OUT\(0) # !\u3|Mux0~26_combout\ & (\u6|PCM_DATA_OUT\(2))) # !\u3|I2S_Stream_Counter\(0) & (\u3|Mux0~26_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1011101111000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u6|PCM_DATA_OUT\(0),
        datab => \u3|I2S_Stream_Counter\(0),
        datac => \u6|PCM_DATA_OUT\(2),
        datad => \u3|Mux0~26_combout\,
        combout => \u3|Mux0~27_combout\);

\u3|Mux0~38\ : cycloneii_lcell_comb
-- Equation(s):
-- \u3|Mux0~38_combout\ = \u3|I2S_Stream_Counter\(5) & \u3|Mux0~25_combout\ & (\u3|Mux0~27_combout\) # !\u3|I2S_Stream_Counter\(5) & (\u3|Mux0~37_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1101100001010000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u3|I2S_Stream_Counter\(5),
        datab => \u3|Mux0~25_combout\,
        datac => \u3|Mux0~37_combout\,
        datad => \u3|Mux0~27_combout\,
        combout => \u3|Mux0~38_combout\);

\u3|Mux0~48\ : cycloneii_lcell_comb
-- Equation(s):
-- \u3|Mux0~48_combout\ = \u3|I2S_Stream_Counter\(4) & !\u3|I2S_Stream_Counter\(5) & \u3|Mux0~47_combout\ # !\u3|I2S_Stream_Counter\(4) & (\u3|Mux0~38_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0100111101000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u3|I2S_Stream_Counter\(5),
        datab => \u3|Mux0~47_combout\,
        datac => \u3|I2S_Stream_Counter\(4),
        datad => \u3|Mux0~38_combout\,
        combout => \u3|Mux0~48_combout\);

\u3|I2S_DATA_INOUT~reg0\ : cycloneii_lcell_ff
PORT MAP (
        clk => \u3|ALT_INV_I2S_Clock~clkctrl_outclk\,
        datain => \u3|Mux0~48_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u3|I2S_DATA_INOUT~reg0_regout\);

\u5|LessThan5~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u5|LessThan5~0_combout\ = \u5|PCM_Data\(13) & (\u5|LessThan2~6_combout\ & !\u5|PCM_Data\(12) & !\u5|PCM_Data~136_combout\ # !\u5|LessThan2~6_combout\ & \u5|PCM_Data\(12)) # !\u5|PCM_Data\(13) & (\u5|PCM_Data~136_combout\ # !\u5|LessThan2~6_combout\ & 
-- \u5|PCM_Data\(12))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0111010100111000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|PCM_Data\(13),
        datab => \u5|LessThan2~6_combout\,
        datac => \u5|PCM_Data\(12),
        datad => \u5|PCM_Data~136_combout\,
        combout => \u5|LessThan5~0_combout\);

\u5|PCM_DATA_OUT[12]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u5|LessThan5~0_combout\,
        ena => \u5|PCM_DATA_OUT[15]~5_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u5|PCM_DATA_OUT\(12));

\u5|PCM_DATA_OUT[9]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u5|LessThan8~2_combout\,
        ena => \u5|PCM_DATA_OUT[15]~5_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u5|PCM_DATA_OUT\(9));

\LessThan0~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \LessThan0~0_combout\ = \u5|PCM_DATA_OUT\(11) & (\u5|PCM_DATA_OUT\(10) # \u5|PCM_DATA_OUT\(9) # \u5|PCM_DATA_OUT\(8))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|PCM_DATA_OUT\(10),
        datab => \u5|PCM_DATA_OUT\(9),
        datac => \u5|PCM_DATA_OUT\(8),
        datad => \u5|PCM_DATA_OUT\(11),
        combout => \LessThan0~0_combout\);

\Red_LEDs_Bar~31\ : cycloneii_lcell_comb
-- Equation(s):
-- \Red_LEDs_Bar~31_combout\ = \u5|PCM_DATA_OUT\(12) & (\LessThan0~0_combout\) # !\u5|PCM_DATA_OUT\(12) & \Red_LEDs_Bar~27_combout\ & (\u5|PCM_DATA_OUT\(13))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1110001011000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \Red_LEDs_Bar~27_combout\,
        datab => \u5|PCM_DATA_OUT\(12),
        datac => \LessThan0~0_combout\,
        datad => \u5|PCM_DATA_OUT\(13),
        combout => \Red_LEDs_Bar~31_combout\);

\Red_LEDs_Bar~32\ : cycloneii_lcell_comb
-- Equation(s):
-- \Red_LEDs_Bar~32_combout\ = \u5|PCM_DATA_OUT\(15) & (\Red_LEDs_Bar~31_combout\ # !\u5|PCM_DATA_OUT\(13) & \u5|PCM_DATA_OUT\(14))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000001000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|PCM_DATA_OUT\(13),
        datab => \u5|PCM_DATA_OUT\(14),
        datac => \u5|PCM_DATA_OUT\(15),
        datad => \Red_LEDs_Bar~31_combout\,
        combout => \Red_LEDs_Bar~32_combout\);

\Red_LEDs_Bar[1]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \u3|I2S_PCM_DATA_ACCESS_OUT~clkctrl_outclk\,
        datain => \Red_LEDs_Bar~32_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => Red_LEDs_Bar(1));

\Red_LEDs_Bar~33\ : cycloneii_lcell_comb
-- Equation(s):
-- \Red_LEDs_Bar~33_combout\ = \Red_LEDs_Bar~27_combout\ & !\u5|PCM_DATA_OUT\(12)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000010101010",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \Red_LEDs_Bar~27_combout\,
        datad => \u5|PCM_DATA_OUT\(12),
        combout => \Red_LEDs_Bar~33_combout\);

\Red_LEDs_Bar~35\ : cycloneii_lcell_comb
-- Equation(s):
-- \Red_LEDs_Bar~35_combout\ = \u5|PCM_DATA_OUT\(15) & (\u5|PCM_DATA_OUT\(14) $ (\u5|PCM_DATA_OUT\(13) & !\Red_LEDs_Bar~33_combout\))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100000001100000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|PCM_DATA_OUT\(13),
        datab => \u5|PCM_DATA_OUT\(14),
        datac => \u5|PCM_DATA_OUT\(15),
        datad => \Red_LEDs_Bar~33_combout\,
        combout => \Red_LEDs_Bar~35_combout\);

\Red_LEDs_Bar[2]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \u3|I2S_PCM_DATA_ACCESS_OUT~clkctrl_outclk\,
        datain => \Red_LEDs_Bar~35_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => Red_LEDs_Bar(2));

\Red_LEDs_Bar~25\ : cycloneii_lcell_comb
-- Equation(s):
-- \Red_LEDs_Bar~25_combout\ = \u5|PCM_DATA_OUT\(10) & \u5|PCM_DATA_OUT\(11) & (\u5|PCM_DATA_OUT\(9) # \u5|PCM_DATA_OUT\(8))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010100000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|PCM_DATA_OUT\(10),
        datab => \u5|PCM_DATA_OUT\(9),
        datac => \u5|PCM_DATA_OUT\(8),
        datad => \u5|PCM_DATA_OUT\(11),
        combout => \Red_LEDs_Bar~25_combout\);

\Red_LEDs_Bar~26\ : cycloneii_lcell_comb
-- Equation(s):
-- \Red_LEDs_Bar~26_combout\ = \u5|PCM_DATA_OUT\(14) # \u5|PCM_DATA_OUT\(12) & \Red_LEDs_Bar~25_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u5|PCM_DATA_OUT\(12),
        datac => \Red_LEDs_Bar~25_combout\,
        datad => \u5|PCM_DATA_OUT\(14),
        combout => \Red_LEDs_Bar~26_combout\);

\Red_LEDs_Bar~29\ : cycloneii_lcell_comb
-- Equation(s):
-- \Red_LEDs_Bar~29_combout\ = \Red_LEDs_Bar~27_combout\ & (\u5|PCM_DATA_OUT\(12) $ (!\u5|PCM_DATA_OUT\(13))) # !\Red_LEDs_Bar~27_combout\ & \u5|PCM_DATA_OUT\(13) & (\LessThan0~0_combout\ # !\u5|PCM_DATA_OUT\(12))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1101100100100010",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \Red_LEDs_Bar~27_combout\,
        datab => \u5|PCM_DATA_OUT\(12),
        datac => \LessThan0~0_combout\,
        datad => \u5|PCM_DATA_OUT\(13),
        combout => \Red_LEDs_Bar~29_combout\);

\Red_LEDs_Bar~30\ : cycloneii_lcell_comb
-- Equation(s):
-- \Red_LEDs_Bar~30_combout\ = \u5|PCM_DATA_OUT\(15) & (\Red_LEDs_Bar~26_combout\ & (!\Red_LEDs_Bar~29_combout\) # !\Red_LEDs_Bar~26_combout\ & \Red_LEDs_Bar~28_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0010000011100000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \Red_LEDs_Bar~28_combout\,
        datab => \Red_LEDs_Bar~26_combout\,
        datac => \u5|PCM_DATA_OUT\(15),
        datad => \Red_LEDs_Bar~29_combout\,
        combout => \Red_LEDs_Bar~30_combout\);

\Red_LEDs_Bar[0]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \u3|I2S_PCM_DATA_ACCESS_OUT~clkctrl_outclk\,
        datain => \Red_LEDs_Bar~30_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => Red_LEDs_Bar(0));

\Red_LEDs_Bar~34\ : cycloneii_lcell_comb
-- Equation(s):
-- \Red_LEDs_Bar~34_combout\ = \u5|PCM_DATA_OUT\(13) & \u5|PCM_DATA_OUT\(14) & \u5|PCM_DATA_OUT\(15) & !\Red_LEDs_Bar~33_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000010000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u5|PCM_DATA_OUT\(13),
        datab => \u5|PCM_DATA_OUT\(14),
        datac => \u5|PCM_DATA_OUT\(15),
        datad => \Red_LEDs_Bar~33_combout\,
        combout => \Red_LEDs_Bar~34_combout\);

\Red_LEDs_Bar[3]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \u3|I2S_PCM_DATA_ACCESS_OUT~clkctrl_outclk\,
        datain => \Red_LEDs_Bar~34_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => Red_LEDs_Bar(3));

\u1|Mux0~4\ : cycloneii_lcell_comb
-- Equation(s):
-- \u1|Mux0~4_combout\ = !Red_LEDs_Bar(1) & !Red_LEDs_Bar(2) & !Red_LEDs_Bar(0) & !Red_LEDs_Bar(3)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000000000001",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => Red_LEDs_Bar(1),
        datab => Red_LEDs_Bar(2),
        datac => Red_LEDs_Bar(0),
        datad => Red_LEDs_Bar(3),
        combout => \u1|Mux0~4_combout\);

\u1|Mux0~5\ : cycloneii_lcell_comb
-- Equation(s):
-- \u1|Mux0~5_combout\ = !Red_LEDs_Bar(1) & !Red_LEDs_Bar(2) & !Red_LEDs_Bar(3)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000000000101",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => Red_LEDs_Bar(1),
        datac => Red_LEDs_Bar(2),
        datad => Red_LEDs_Bar(3),
        combout => \u1|Mux0~5_combout\);

\u1|Mux2~5\ : cycloneii_lcell_comb
-- Equation(s):
-- \u1|Mux2~5_combout\ = Red_LEDs_Bar(2) # Red_LEDs_Bar(3) # Red_LEDs_Bar(1) & Red_LEDs_Bar(0)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111101100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => Red_LEDs_Bar(1),
        datab => Red_LEDs_Bar(2),
        datac => Red_LEDs_Bar(0),
        datad => Red_LEDs_Bar(3),
        combout => \u1|Mux2~5_combout\);

\u1|Mux3~1\ : cycloneii_lcell_comb
-- Equation(s):
-- \u1|Mux3~1_combout\ = !Red_LEDs_Bar(2) & !Red_LEDs_Bar(3)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000000001111",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => Red_LEDs_Bar(2),
        datad => Red_LEDs_Bar(3),
        combout => \u1|Mux3~1_combout\);

\u1|Mux4~5\ : cycloneii_lcell_comb
-- Equation(s):
-- \u1|Mux4~5_combout\ = Red_LEDs_Bar(3) # Red_LEDs_Bar(2) & (Red_LEDs_Bar(1) # Red_LEDs_Bar(0))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111111001000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => Red_LEDs_Bar(1),
        datab => Red_LEDs_Bar(2),
        datac => Red_LEDs_Bar(0),
        datad => Red_LEDs_Bar(3),
        combout => \u1|Mux4~5_combout\);

\u1|Mux5~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u1|Mux5~2_combout\ = Red_LEDs_Bar(3) # Red_LEDs_Bar(1) & Red_LEDs_Bar(2)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111110100000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => Red_LEDs_Bar(1),
        datac => Red_LEDs_Bar(2),
        datad => Red_LEDs_Bar(3),
        combout => \u1|Mux5~2_combout\);

\u1|Mux6~5\ : cycloneii_lcell_comb
-- Equation(s):
-- \u1|Mux6~5_combout\ = Red_LEDs_Bar(3) # Red_LEDs_Bar(1) & Red_LEDs_Bar(2) & Red_LEDs_Bar(0)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111110000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => Red_LEDs_Bar(1),
        datab => Red_LEDs_Bar(2),
        datac => Red_LEDs_Bar(0),
        datad => Red_LEDs_Bar(3),
        combout => \u1|Mux6~5_combout\);

\u1|Mux7~1\ : cycloneii_lcell_comb
-- Equation(s):
-- \u1|Mux7~1_combout\ = Red_LEDs_Bar(3) & (Red_LEDs_Bar(1) $ Red_LEDs_Bar(0))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0101101000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => Red_LEDs_Bar(1),
        datac => Red_LEDs_Bar(0),
        datad => Red_LEDs_Bar(3),
        combout => \u1|Mux7~1_combout\);

\u1|Mux8~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u1|Mux8~0_combout\ = Red_LEDs_Bar(1) & Red_LEDs_Bar(3)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => Red_LEDs_Bar(1),
        datad => Red_LEDs_Bar(3),
        combout => \u1|Mux8~0_combout\);

\u2|Mux0~43\ : cycloneii_lcell_comb
-- Equation(s):
-- \u2|Mux0~43_combout\ = \u2|I2C_Stream_Counter\(5) & !\u2|I2C_Stream_Counter\(6) & (\u2|I2C_Stream_Counter\(4) $ \u2|I2C_Stream_Counter\(3)) # !\u2|I2C_Stream_Counter\(5) & (\u2|I2C_Stream_Counter\(4) $ (\u2|I2C_Stream_Counter\(6) & 
-- \u2|I2C_Stream_Counter\(3)))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0001011000101010",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u2|I2C_Stream_Counter\(4),
        datab => \u2|I2C_Stream_Counter\(5),
        datac => \u2|I2C_Stream_Counter\(6),
        datad => \u2|I2C_Stream_Counter\(3),
        combout => \u2|Mux0~43_combout\);

\u2|Mux0~42\ : cycloneii_lcell_comb
-- Equation(s):
-- \u2|Mux0~42_combout\ = \u2|I2C_Stream_Counter\(4) & (\u2|I2C_Stream_Counter\(5) # \u2|I2C_Stream_Counter\(6) # \u2|I2C_Stream_Counter\(3)) # !\u2|I2C_Stream_Counter\(4) & (\u2|I2C_Stream_Counter\(5) $ (!\u2|I2C_Stream_Counter\(6) & 
-- \u2|I2C_Stream_Counter\(3)))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1110101111101100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u2|I2C_Stream_Counter\(4),
        datab => \u2|I2C_Stream_Counter\(5),
        datac => \u2|I2C_Stream_Counter\(6),
        datad => \u2|I2C_Stream_Counter\(3),
        combout => \u2|Mux0~42_combout\);

\u2|Mux0~45\ : cycloneii_lcell_comb
-- Equation(s):
-- \u2|Mux0~45_combout\ = \u2|Mux0~43_combout\ & !\u2|Mux0~41_combout\ & (\u2|I2C_Stream_Counter\(6) $ \u2|Mux0~42_combout\) # !\u2|Mux0~43_combout\ & \u2|Mux0~42_combout\ & (\u2|Mux0~41_combout\ # \u2|I2C_Stream_Counter\(6))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0001111001000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u2|Mux0~41_combout\,
        datab => \u2|I2C_Stream_Counter\(6),
        datac => \u2|Mux0~43_combout\,
        datad => \u2|Mux0~42_combout\,
        combout => \u2|Mux0~45_combout\);

\u2|Mux0~44\ : cycloneii_lcell_comb
-- Equation(s):
-- \u2|Mux0~44_combout\ = \u2|Mux0~42_combout\ & (\u2|I2C_Stream_Counter\(6) & (\u2|Mux0~43_combout\) # !\u2|I2C_Stream_Counter\(6) & !\u2|Mux0~41_combout\ & !\u2|Mux0~43_combout\) # !\u2|Mux0~42_combout\ & \u2|Mux0~41_combout\ & (\u2|I2C_Stream_Counter\(6) 
-- $ \u2|Mux0~43_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100000100101000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u2|Mux0~41_combout\,
        datab => \u2|I2C_Stream_Counter\(6),
        datac => \u2|Mux0~43_combout\,
        datad => \u2|Mux0~42_combout\,
        combout => \u2|Mux0~44_combout\);

\u2|Mux0~40\ : cycloneii_lcell_comb
-- Equation(s):
-- \u2|Mux0~40_combout\ = \u2|I2C_Stream_Counter\(1) & (!\u2|I2C_Stream_Counter\(4) & !\u2|I2C_Stream_Counter\(2) # !\u2|I2C_Stream_Counter\(0)) # !\u2|I2C_Stream_Counter\(1) & (\u2|I2C_Stream_Counter\(2) & \u2|I2C_Stream_Counter\(0))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011010011001100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u2|I2C_Stream_Counter\(4),
        datab => \u2|I2C_Stream_Counter\(1),
        datac => \u2|I2C_Stream_Counter\(2),
        datad => \u2|I2C_Stream_Counter\(0),
        combout => \u2|Mux0~40_combout\);

\u2|Mux0~46\ : cycloneii_lcell_comb
-- Equation(s):
-- \u2|Mux0~46_combout\ = \u2|Mux0~45_combout\ & \u2|Mux0~44_combout\ & !\u2|Mux0~40_combout\ # !\u2|Mux0~45_combout\ & (\u2|Mux0~44_combout\ $ !\u2|Mux0~40_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011000011000011",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u2|Mux0~45_combout\,
        datac => \u2|Mux0~44_combout\,
        datad => \u2|Mux0~40_combout\,
        combout => \u2|Mux0~46_combout\);

\u2|I2C_CLOCK\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u2|Mux0~46_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u2|I2C_CLOCK~regout\);

\u3|I2S_LEFT_RIGHT_CLOCK_OUT~3\ : cycloneii_lcell_comb
-- Equation(s):
-- \u3|I2S_LEFT_RIGHT_CLOCK_OUT~3_combout\ = \u3|I2S_Stream_Counter\(5) & \u3|I2S_LEFT_RIGHT_CLOCK_OUT~regout\ # !\u3|I2S_Stream_Counter\(5) & (\u3|I2S_Stream_Counter\(2) & \u3|I2S_LEFT_RIGHT_CLOCK_OUT~regout\ # !\u3|I2S_Stream_Counter\(2) & 
-- (\u3|I2S_Stream_Counter\(0)))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100110011011000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u3|I2S_Stream_Counter\(5),
        datab => \u3|I2S_LEFT_RIGHT_CLOCK_OUT~regout\,
        datac => \u3|I2S_Stream_Counter\(0),
        datad => \u3|I2S_Stream_Counter\(2),
        combout => \u3|I2S_LEFT_RIGHT_CLOCK_OUT~3_combout\);

\u3|I2S_LEFT_RIGHT_CLOCK_OUT~4\ : cycloneii_lcell_comb
-- Equation(s):
-- \u3|I2S_LEFT_RIGHT_CLOCK_OUT~4_combout\ = \u3|I2S_LEFT_RIGHT_CLOCK_OUT~3_combout\ & (\u3|I2S_LEFT_RIGHT_CLOCK_OUT~2_combout\ # \u3|I2S_LEFT_RIGHT_CLOCK_OUT~regout\) # !\u3|I2S_LEFT_RIGHT_CLOCK_OUT~3_combout\ & (\u3|I2S_LEFT_RIGHT_CLOCK_OUT~regout\ & 
-- !\u3|Equal1~0_combout\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100100011111000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u3|I2S_LEFT_RIGHT_CLOCK_OUT~2_combout\,
        datab => \u3|I2S_LEFT_RIGHT_CLOCK_OUT~3_combout\,
        datac => \u3|I2S_LEFT_RIGHT_CLOCK_OUT~regout\,
        datad => \u3|Equal1~0_combout\,
        combout => \u3|I2S_LEFT_RIGHT_CLOCK_OUT~4_combout\);

\u3|I2S_LEFT_RIGHT_CLOCK_OUT\ : cycloneii_lcell_ff
PORT MAP (
        clk => \u3|ALT_INV_I2S_Clock~clkctrl_outclk\,
        datain => \u3|I2S_LEFT_RIGHT_CLOCK_OUT~4_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u3|I2S_LEFT_RIGHT_CLOCK_OUT~regout\);

\I2S_CORE_CLOCK~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \I2S_CORE_CLOCK~0_combout\ = !\I2S_CORE_CLOCK~regout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111100001111",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \I2S_CORE_CLOCK~regout\,
        combout => \I2S_CORE_CLOCK~0_combout\);

I2S_CORE_CLOCK : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \I2S_CORE_CLOCK~0_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \I2S_CORE_CLOCK~regout\);

\u4|Add0~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u4|Add0~0_combout\ = \u4|Counter\(0) $ VCC
-- \u4|Add0~1\ = CARRY(\u4|Counter\(0))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011001111001100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => \u4|Counter\(0),
        datad => VCC,
        combout => \u4|Add0~0_combout\,
        cout => \u4|Add0~1\);

\u4|Counter[0]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u4|Add0~0_combout\,
        sclr => \u4|Equal0~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u4|Counter\(0));

\u4|Add0~4\ : cycloneii_lcell_comb
-- Equation(s):
-- \u4|Add0~4_combout\ = \u4|Counter\(2) & (\u4|Add0~3\ $ GND) # !\u4|Counter\(2) & !\u4|Add0~3\ & VCC
-- \u4|Add0~5\ = CARRY(\u4|Counter\(2) & !\u4|Add0~3\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010010100001010",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u4|Counter\(2),
        datad => VCC,
        cin => \u4|Add0~3\,
        combout => \u4|Add0~4_combout\,
        cout => \u4|Add0~5\);

\u4|Add0~6\ : cycloneii_lcell_comb
-- Equation(s):
-- \u4|Add0~6_combout\ = \u4|Counter\(3) & !\u4|Add0~5\ # !\u4|Counter\(3) & (\u4|Add0~5\ # GND)
-- \u4|Add0~7\ = CARRY(!\u4|Add0~5\ # !\u4|Counter\(3))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110000111111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u4|Counter\(3),
        datad => VCC,
        cin => \u4|Add0~5\,
        combout => \u4|Add0~6_combout\,
        cout => \u4|Add0~7\);

\u4|Counter[3]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u4|Add0~6_combout\,
        sclr => \u4|Equal0~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u4|Counter\(3));

\u4|Add0~10\ : cycloneii_lcell_comb
-- Equation(s):
-- \u4|Add0~10_combout\ = \u4|Counter\(5) & !\u4|Add0~9\ # !\u4|Counter\(5) & (\u4|Add0~9\ # GND)
-- \u4|Add0~11\ = CARRY(!\u4|Add0~9\ # !\u4|Counter\(5))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110000111111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u4|Counter\(5),
        datad => VCC,
        cin => \u4|Add0~9\,
        combout => \u4|Add0~10_combout\,
        cout => \u4|Add0~11\);

\u4|Counter[5]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u4|Add0~10_combout\,
        sclr => \u4|Equal0~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u4|Counter\(5));

\u4|Add0~14\ : cycloneii_lcell_comb
-- Equation(s):
-- \u4|Add0~14_combout\ = \u4|Counter\(7) & !\u4|Add0~13\ # !\u4|Counter\(7) & (\u4|Add0~13\ # GND)
-- \u4|Add0~15\ = CARRY(!\u4|Add0~13\ # !\u4|Counter\(7))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110000111111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u4|Counter\(7),
        datad => VCC,
        cin => \u4|Add0~13\,
        combout => \u4|Add0~14_combout\,
        cout => \u4|Add0~15\);

\u4|Counter[7]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u4|Add0~14_combout\,
        sclr => \u4|Equal0~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u4|Counter\(7));

\u4|Add0~16\ : cycloneii_lcell_comb
-- Equation(s):
-- \u4|Add0~16_combout\ = \u4|Counter\(8) & (\u4|Add0~15\ $ GND) # !\u4|Counter\(8) & !\u4|Add0~15\ & VCC
-- \u4|Add0~17\ = CARRY(\u4|Counter\(8) & !\u4|Add0~15\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010010100001010",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u4|Counter\(8),
        datad => VCC,
        cin => \u4|Add0~15\,
        combout => \u4|Add0~16_combout\,
        cout => \u4|Add0~17\);

\u4|Add0~18\ : cycloneii_lcell_comb
-- Equation(s):
-- \u4|Add0~18_combout\ = \u4|Counter\(9) & !\u4|Add0~17\ # !\u4|Counter\(9) & (\u4|Add0~17\ # GND)
-- \u4|Add0~19\ = CARRY(!\u4|Add0~17\ # !\u4|Counter\(9))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110000111111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u4|Counter\(9),
        datad => VCC,
        cin => \u4|Add0~17\,
        combout => \u4|Add0~18_combout\,
        cout => \u4|Add0~19\);

\u4|Counter[9]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u4|Add0~18_combout\,
        sclr => \u4|Equal0~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u4|Counter\(9));

\u4|Add0~20\ : cycloneii_lcell_comb
-- Equation(s):
-- \u4|Add0~20_combout\ = \u4|Counter\(10) & (\u4|Add0~19\ $ GND) # !\u4|Counter\(10) & !\u4|Add0~19\ & VCC
-- \u4|Add0~21\ = CARRY(\u4|Counter\(10) & !\u4|Add0~19\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100001100001100",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u4|Counter\(10),
        datad => VCC,
        cin => \u4|Add0~19\,
        combout => \u4|Add0~20_combout\,
        cout => \u4|Add0~21\);

\u4|Counter[10]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u4|Add0~20_combout\,
        sclr => \u4|Equal0~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u4|Counter\(10));

\u4|Add0~22\ : cycloneii_lcell_comb
-- Equation(s):
-- \u4|Add0~22_combout\ = \u4|Counter\(11) & !\u4|Add0~21\ # !\u4|Counter\(11) & (\u4|Add0~21\ # GND)
-- \u4|Add0~23\ = CARRY(!\u4|Add0~21\ # !\u4|Counter\(11))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110000111111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u4|Counter\(11),
        datad => VCC,
        cin => \u4|Add0~21\,
        combout => \u4|Add0~22_combout\,
        cout => \u4|Add0~23\);

\u4|Counter[11]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u4|Add0~22_combout\,
        sclr => \u4|Equal0~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u4|Counter\(11));

\u4|Add0~24\ : cycloneii_lcell_comb
-- Equation(s):
-- \u4|Add0~24_combout\ = \u4|Counter\(12) & (\u4|Add0~23\ $ GND) # !\u4|Counter\(12) & !\u4|Add0~23\ & VCC
-- \u4|Add0~25\ = CARRY(\u4|Counter\(12) & !\u4|Add0~23\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100001100001100",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u4|Counter\(12),
        datad => VCC,
        cin => \u4|Add0~23\,
        combout => \u4|Add0~24_combout\,
        cout => \u4|Add0~25\);

\u4|Counter[12]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u4|Add0~24_combout\,
        sclr => \u4|Equal0~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u4|Counter\(12));

\u4|Add0~26\ : cycloneii_lcell_comb
-- Equation(s):
-- \u4|Add0~26_combout\ = \u4|Counter\(13) & !\u4|Add0~25\ # !\u4|Counter\(13) & (\u4|Add0~25\ # GND)
-- \u4|Add0~27\ = CARRY(!\u4|Add0~25\ # !\u4|Counter\(13))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110000111111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u4|Counter\(13),
        datad => VCC,
        cin => \u4|Add0~25\,
        combout => \u4|Add0~26_combout\,
        cout => \u4|Add0~27\);

\u4|Counter[13]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u4|Add0~26_combout\,
        sclr => \u4|Equal0~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u4|Counter\(13));

\u4|Add0~28\ : cycloneii_lcell_comb
-- Equation(s):
-- \u4|Add0~28_combout\ = \u4|Counter\(14) & (\u4|Add0~27\ $ GND) # !\u4|Counter\(14) & !\u4|Add0~27\ & VCC
-- \u4|Add0~29\ = CARRY(\u4|Counter\(14) & !\u4|Add0~27\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100001100001100",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u4|Counter\(14),
        datad => VCC,
        cin => \u4|Add0~27\,
        combout => \u4|Add0~28_combout\,
        cout => \u4|Add0~29\);

\u4|Counter[14]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u4|Add0~28_combout\,
        sclr => \u4|Equal0~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u4|Counter\(14));

\u4|Add0~30\ : cycloneii_lcell_comb
-- Equation(s):
-- \u4|Add0~30_combout\ = \u4|Counter\(15) & !\u4|Add0~29\ # !\u4|Counter\(15) & (\u4|Add0~29\ # GND)
-- \u4|Add0~31\ = CARRY(!\u4|Add0~29\ # !\u4|Counter\(15))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0101101001011111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u4|Counter\(15),
        datad => VCC,
        cin => \u4|Add0~29\,
        combout => \u4|Add0~30_combout\,
        cout => \u4|Add0~31\);

\u4|Add0~32\ : cycloneii_lcell_comb
-- Equation(s):
-- \u4|Add0~32_combout\ = \u4|Counter\(16) & (\u4|Add0~31\ $ GND) # !\u4|Counter\(16) & !\u4|Add0~31\ & VCC
-- \u4|Add0~33\ = CARRY(\u4|Counter\(16) & !\u4|Add0~31\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100001100001100",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u4|Counter\(16),
        datad => VCC,
        cin => \u4|Add0~31\,
        combout => \u4|Add0~32_combout\,
        cout => \u4|Add0~33\);

\u4|Counter[16]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u4|Add0~32_combout\,
        sclr => \u4|Equal0~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u4|Counter\(16));

\u4|Add0~36\ : cycloneii_lcell_comb
-- Equation(s):
-- \u4|Add0~36_combout\ = \u4|Counter\(18) & (\u4|Add0~35\ $ GND) # !\u4|Counter\(18) & !\u4|Add0~35\ & VCC
-- \u4|Add0~37\ = CARRY(\u4|Counter\(18) & !\u4|Add0~35\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010010100001010",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u4|Counter\(18),
        datad => VCC,
        cin => \u4|Add0~35\,
        combout => \u4|Add0~36_combout\,
        cout => \u4|Add0~37\);

\u4|Add0~38\ : cycloneii_lcell_comb
-- Equation(s):
-- \u4|Add0~38_combout\ = \u4|Counter\(19) & !\u4|Add0~37\ # !\u4|Counter\(19) & (\u4|Add0~37\ # GND)
-- \u4|Add0~39\ = CARRY(!\u4|Add0~37\ # !\u4|Counter\(19))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110000111111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u4|Counter\(19),
        datad => VCC,
        cin => \u4|Add0~37\,
        combout => \u4|Add0~38_combout\,
        cout => \u4|Add0~39\);

\u4|Counter[19]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u4|Add0~38_combout\,
        sclr => \u4|Equal0~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u4|Counter\(19));

\u4|Counter[18]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u4|Add0~36_combout\,
        sclr => \u4|Equal0~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u4|Counter\(18));

\u4|Equal0~5\ : cycloneii_lcell_comb
-- Equation(s):
-- \u4|Equal0~5_combout\ = !\u4|Counter\(17) & !\u4|Counter\(19) & !\u4|Counter\(16) & !\u4|Counter\(18)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000000000001",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u4|Counter\(17),
        datab => \u4|Counter\(19),
        datac => \u4|Counter\(16),
        datad => \u4|Counter\(18),
        combout => \u4|Equal0~5_combout\);

\u4|Add0~40\ : cycloneii_lcell_comb
-- Equation(s):
-- \u4|Add0~40_combout\ = \u4|Counter\(20) & (\u4|Add0~39\ $ GND) # !\u4|Counter\(20) & !\u4|Add0~39\ & VCC
-- \u4|Add0~41\ = CARRY(\u4|Counter\(20) & !\u4|Add0~39\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010010100001010",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => \u4|Counter\(20),
        datad => VCC,
        cin => \u4|Add0~39\,
        combout => \u4|Add0~40_combout\,
        cout => \u4|Add0~41\);

\u4|Add0~42\ : cycloneii_lcell_comb
-- Equation(s):
-- \u4|Add0~42_combout\ = \u4|Counter\(21) & !\u4|Add0~41\ # !\u4|Counter\(21) & (\u4|Add0~41\ # GND)
-- \u4|Add0~43\ = CARRY(!\u4|Add0~41\ # !\u4|Counter\(21))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110000111111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => \u4|Counter\(21),
        datad => VCC,
        cin => \u4|Add0~41\,
        combout => \u4|Add0~42_combout\,
        cout => \u4|Add0~43\);

\u4|Counter[21]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u4|Add0~42_combout\,
        sclr => \u4|Equal0~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u4|Counter\(21));

\u4|Counter[23]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u4|Add0~46_combout\,
        sclr => \u4|Equal0~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u4|Counter\(23));

\u4|Counter[20]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u4|Add0~40_combout\,
        sclr => \u4|Equal0~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u4|Counter\(20));

\u4|Equal0~6\ : cycloneii_lcell_comb
-- Equation(s):
-- \u4|Equal0~6_combout\ = !\u4|Counter\(22) & !\u4|Counter\(23) & !\u4|Counter\(20) & !\u4|Counter\(21)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000000000001",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u4|Counter\(22),
        datab => \u4|Counter\(23),
        datac => \u4|Counter\(20),
        datad => \u4|Counter\(21),
        combout => \u4|Equal0~6_combout\);

\u4|Counter[8]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u4|Add0~16_combout\,
        sclr => \u4|Equal0~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u4|Counter\(8));

\u4|Counter[2]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u4|Add0~4_combout\,
        sclr => \u4|Equal0~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u4|Counter\(2));

\u4|Equal0~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u4|Equal0~0_combout\ = !\u4|Counter\(1) & !\u4|Counter\(5) & !\u4|Counter\(8) & \u4|Counter\(2)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u4|Counter\(1),
        datab => \u4|Counter\(5),
        datac => \u4|Counter\(8),
        datad => \u4|Counter\(2),
        combout => \u4|Equal0~0_combout\);

\u4|Equal0~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u4|Equal0~2_combout\ = !\u4|Counter\(6) & !\u4|Counter\(9) & \u4|Counter\(0) & !\u4|Counter\(10)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000000010000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u4|Counter\(6),
        datab => \u4|Counter\(9),
        datac => \u4|Counter\(0),
        datad => \u4|Counter\(10),
        combout => \u4|Equal0~2_combout\);

\u4|Counter[15]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~clkctrl_outclk\,
        datain => \u4|Add0~30_combout\,
        sclr => \u4|Equal0~7_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u4|Counter\(15));

\u4|Equal0~3\ : cycloneii_lcell_comb
-- Equation(s):
-- \u4|Equal0~3_combout\ = !\u4|Counter\(13) & !\u4|Counter\(15) & !\u4|Counter\(11) & !\u4|Counter\(14)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000000000001",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u4|Counter\(13),
        datab => \u4|Counter\(15),
        datac => \u4|Counter\(11),
        datad => \u4|Counter\(14),
        combout => \u4|Equal0~3_combout\);

\u4|Equal0~4\ : cycloneii_lcell_comb
-- Equation(s):
-- \u4|Equal0~4_combout\ = \u4|Equal0~1_combout\ & \u4|Equal0~0_combout\ & \u4|Equal0~2_combout\ & \u4|Equal0~3_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1000000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u4|Equal0~1_combout\,
        datab => \u4|Equal0~0_combout\,
        datac => \u4|Equal0~2_combout\,
        datad => \u4|Equal0~3_combout\,
        combout => \u4|Equal0~4_combout\);

\u4|Equal0~7\ : cycloneii_lcell_comb
-- Equation(s):
-- \u4|Equal0~7_combout\ = !\u4|Counter\(24) & \u4|Equal0~5_combout\ & \u4|Equal0~6_combout\ & \u4|Equal0~4_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0100000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u4|Counter\(24),
        datab => \u4|Equal0~5_combout\,
        datac => \u4|Equal0~6_combout\,
        datad => \u4|Equal0~4_combout\,
        combout => \u4|Equal0~7_combout\);

\u4|Flash_Memory_Clock~1\ : cycloneii_lcell_comb
-- Equation(s):
-- \u4|Flash_Memory_Clock~1_combout\ = \u4|Flash_Memory_Clock~regout\ $ \u4|Equal0~7_combout\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000111111110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => \u4|Flash_Memory_Clock~regout\,
        datad => \u4|Equal0~7_combout\,
        combout => \u4|Flash_Memory_Clock~1_combout\);

\u4|Flash_Memory_Clock\ : cycloneii_lcell_ff
PORT MAP (
        clk => \CLOCK_IN~combout\,
        datain => \u4|Flash_Memory_Clock~1_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u4|Flash_Memory_Clock~regout\);

\u4|Flash_Memory_Clock~clkctrl\ : cycloneii_clkctrl
-- pragma translate_off
GENERIC MAP (
        clock_type => "global clock",
        ena_register_mode => "falling edge")
-- pragma translate_on
PORT MAP (
        inclk => \u4|Flash_Memory_Clock~clkctrl_INCLK_bus\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        outclk => \u4|Flash_Memory_Clock~clkctrl_outclk\);

\FLASH_MEMORY_ADDRESS_22[0]~21\ : cycloneii_lcell_comb
-- Equation(s):
-- \FLASH_MEMORY_ADDRESS_22[0]~21_combout\ = FLASH_MEMORY_ADDRESS_22(0) $ (ADPCM_Bit_Counter(2) & ADPCM_Bit_Counter(1))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110011110000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datab => ADPCM_Bit_Counter(2),
        datac => FLASH_MEMORY_ADDRESS_22(0),
        datad => ADPCM_Bit_Counter(1),
        combout => \FLASH_MEMORY_ADDRESS_22[0]~21_combout\);

\FLASH_MEMORY_ADDRESS_22[0]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \u3|I2S_PCM_DATA_ACCESS_OUT~clkctrl_outclk\,
        datain => \FLASH_MEMORY_ADDRESS_22[0]~21_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => FLASH_MEMORY_ADDRESS_22(0));

\u4|FLASH_MEMORY_ADDRESS[0]~feeder\ : cycloneii_lcell_comb
-- Equation(s):
-- \u4|FLASH_MEMORY_ADDRESS[0]~feeder_combout\ = FLASH_MEMORY_ADDRESS_22(0)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datad => FLASH_MEMORY_ADDRESS_22(0),
        combout => \u4|FLASH_MEMORY_ADDRESS[0]~feeder_combout\);

\u4|FLASH_MEMORY_ADDRESS[14]~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \u4|FLASH_MEMORY_ADDRESS[14]~0_combout\ = !\u4|Flash_Memory_Counter\(1) & \u4|Flash_Memory_Counter\(0) & !\u4|Flash_Memory_Counter\(2)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0000000001000100",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u4|Flash_Memory_Counter\(1),
        datab => \u4|Flash_Memory_Counter\(0),
        datad => \u4|Flash_Memory_Counter\(2),
        combout => \u4|FLASH_MEMORY_ADDRESS[14]~0_combout\);

\u4|FLASH_MEMORY_ADDRESS[0]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \u4|ALT_INV_Flash_Memory_Clock~clkctrl_outclk\,
        datain => \u4|FLASH_MEMORY_ADDRESS[0]~feeder_combout\,
        ena => \u4|FLASH_MEMORY_ADDRESS[14]~0_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u4|FLASH_MEMORY_ADDRESS\(0));

\FLASH_MEMORY_ADDRESS_22[1]~22\ : cycloneii_lcell_comb
-- Equation(s):
-- \FLASH_MEMORY_ADDRESS_22[1]~22_combout\ = FLASH_MEMORY_ADDRESS_22(0) & (FLASH_MEMORY_ADDRESS_22(1) $ VCC) # !FLASH_MEMORY_ADDRESS_22(0) & FLASH_MEMORY_ADDRESS_22(1) & VCC
-- \FLASH_MEMORY_ADDRESS_22[1]~23\ = CARRY(FLASH_MEMORY_ADDRESS_22(0) & FLASH_MEMORY_ADDRESS_22(1))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0110011010001000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => FLASH_MEMORY_ADDRESS_22(0),
        datab => FLASH_MEMORY_ADDRESS_22(1),
        datad => VCC,
        combout => \FLASH_MEMORY_ADDRESS_22[1]~22_combout\,
        cout => \FLASH_MEMORY_ADDRESS_22[1]~23\);

\Equal0~0\ : cycloneii_lcell_comb
-- Equation(s):
-- \Equal0~0_combout\ = ADPCM_Bit_Counter(2) & ADPCM_Bit_Counter(1)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111000000000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datac => ADPCM_Bit_Counter(2),
        datad => ADPCM_Bit_Counter(1),
        combout => \Equal0~0_combout\);

\FLASH_MEMORY_ADDRESS_22[1]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \u3|I2S_PCM_DATA_ACCESS_OUT~clkctrl_outclk\,
        datain => \FLASH_MEMORY_ADDRESS_22[1]~22_combout\,
        ena => \Equal0~0_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => FLASH_MEMORY_ADDRESS_22(1));

\u4|FLASH_MEMORY_ADDRESS[1]~feeder\ : cycloneii_lcell_comb
-- Equation(s):
-- \u4|FLASH_MEMORY_ADDRESS[1]~feeder_combout\ = FLASH_MEMORY_ADDRESS_22(1)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datad => FLASH_MEMORY_ADDRESS_22(1),
        combout => \u4|FLASH_MEMORY_ADDRESS[1]~feeder_combout\);

\u4|FLASH_MEMORY_ADDRESS[1]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \u4|ALT_INV_Flash_Memory_Clock~clkctrl_outclk\,
        datain => \u4|FLASH_MEMORY_ADDRESS[1]~feeder_combout\,
        ena => \u4|FLASH_MEMORY_ADDRESS[14]~0_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u4|FLASH_MEMORY_ADDRESS\(1));

\FLASH_MEMORY_ADDRESS_22[2]~24\ : cycloneii_lcell_comb
-- Equation(s):
-- \FLASH_MEMORY_ADDRESS_22[2]~24_combout\ = FLASH_MEMORY_ADDRESS_22(2) & !\FLASH_MEMORY_ADDRESS_22[1]~23\ # !FLASH_MEMORY_ADDRESS_22(2) & (\FLASH_MEMORY_ADDRESS_22[1]~23\ # GND)
-- \FLASH_MEMORY_ADDRESS_22[2]~25\ = CARRY(!\FLASH_MEMORY_ADDRESS_22[1]~23\ # !FLASH_MEMORY_ADDRESS_22(2))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110000111111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => FLASH_MEMORY_ADDRESS_22(2),
        datad => VCC,
        cin => \FLASH_MEMORY_ADDRESS_22[1]~23\,
        combout => \FLASH_MEMORY_ADDRESS_22[2]~24_combout\,
        cout => \FLASH_MEMORY_ADDRESS_22[2]~25\);

\FLASH_MEMORY_ADDRESS_22[2]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \u3|I2S_PCM_DATA_ACCESS_OUT~clkctrl_outclk\,
        datain => \FLASH_MEMORY_ADDRESS_22[2]~24_combout\,
        ena => \Equal0~0_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => FLASH_MEMORY_ADDRESS_22(2));

\u4|FLASH_MEMORY_ADDRESS[2]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \u4|ALT_INV_Flash_Memory_Clock~clkctrl_outclk\,
        sdata => FLASH_MEMORY_ADDRESS_22(2),
        sload => VCC,
        ena => \u4|FLASH_MEMORY_ADDRESS[14]~0_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u4|FLASH_MEMORY_ADDRESS\(2));

\FLASH_MEMORY_ADDRESS_22[3]~26\ : cycloneii_lcell_comb
-- Equation(s):
-- \FLASH_MEMORY_ADDRESS_22[3]~26_combout\ = FLASH_MEMORY_ADDRESS_22(3) & (\FLASH_MEMORY_ADDRESS_22[2]~25\ $ GND) # !FLASH_MEMORY_ADDRESS_22(3) & !\FLASH_MEMORY_ADDRESS_22[2]~25\ & VCC
-- \FLASH_MEMORY_ADDRESS_22[3]~27\ = CARRY(FLASH_MEMORY_ADDRESS_22(3) & !\FLASH_MEMORY_ADDRESS_22[2]~25\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010010100001010",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => FLASH_MEMORY_ADDRESS_22(3),
        datad => VCC,
        cin => \FLASH_MEMORY_ADDRESS_22[2]~25\,
        combout => \FLASH_MEMORY_ADDRESS_22[3]~26_combout\,
        cout => \FLASH_MEMORY_ADDRESS_22[3]~27\);

\FLASH_MEMORY_ADDRESS_22[3]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \u3|I2S_PCM_DATA_ACCESS_OUT~clkctrl_outclk\,
        datain => \FLASH_MEMORY_ADDRESS_22[3]~26_combout\,
        ena => \Equal0~0_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => FLASH_MEMORY_ADDRESS_22(3));

\u4|FLASH_MEMORY_ADDRESS[3]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \u4|ALT_INV_Flash_Memory_Clock~clkctrl_outclk\,
        sdata => FLASH_MEMORY_ADDRESS_22(3),
        sload => VCC,
        ena => \u4|FLASH_MEMORY_ADDRESS[14]~0_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u4|FLASH_MEMORY_ADDRESS\(3));

\FLASH_MEMORY_ADDRESS_22[4]~28\ : cycloneii_lcell_comb
-- Equation(s):
-- \FLASH_MEMORY_ADDRESS_22[4]~28_combout\ = FLASH_MEMORY_ADDRESS_22(4) & !\FLASH_MEMORY_ADDRESS_22[3]~27\ # !FLASH_MEMORY_ADDRESS_22(4) & (\FLASH_MEMORY_ADDRESS_22[3]~27\ # GND)
-- \FLASH_MEMORY_ADDRESS_22[4]~29\ = CARRY(!\FLASH_MEMORY_ADDRESS_22[3]~27\ # !FLASH_MEMORY_ADDRESS_22(4))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110000111111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => FLASH_MEMORY_ADDRESS_22(4),
        datad => VCC,
        cin => \FLASH_MEMORY_ADDRESS_22[3]~27\,
        combout => \FLASH_MEMORY_ADDRESS_22[4]~28_combout\,
        cout => \FLASH_MEMORY_ADDRESS_22[4]~29\);

\FLASH_MEMORY_ADDRESS_22[4]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \u3|I2S_PCM_DATA_ACCESS_OUT~clkctrl_outclk\,
        datain => \FLASH_MEMORY_ADDRESS_22[4]~28_combout\,
        ena => \Equal0~0_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => FLASH_MEMORY_ADDRESS_22(4));

\u4|FLASH_MEMORY_ADDRESS[4]~feeder\ : cycloneii_lcell_comb
-- Equation(s):
-- \u4|FLASH_MEMORY_ADDRESS[4]~feeder_combout\ = FLASH_MEMORY_ADDRESS_22(4)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datad => FLASH_MEMORY_ADDRESS_22(4),
        combout => \u4|FLASH_MEMORY_ADDRESS[4]~feeder_combout\);

\u4|FLASH_MEMORY_ADDRESS[4]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \u4|ALT_INV_Flash_Memory_Clock~clkctrl_outclk\,
        datain => \u4|FLASH_MEMORY_ADDRESS[4]~feeder_combout\,
        ena => \u4|FLASH_MEMORY_ADDRESS[14]~0_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u4|FLASH_MEMORY_ADDRESS\(4));

\FLASH_MEMORY_ADDRESS_22[5]~30\ : cycloneii_lcell_comb
-- Equation(s):
-- \FLASH_MEMORY_ADDRESS_22[5]~30_combout\ = FLASH_MEMORY_ADDRESS_22(5) & (\FLASH_MEMORY_ADDRESS_22[4]~29\ $ GND) # !FLASH_MEMORY_ADDRESS_22(5) & !\FLASH_MEMORY_ADDRESS_22[4]~29\ & VCC
-- \FLASH_MEMORY_ADDRESS_22[5]~31\ = CARRY(FLASH_MEMORY_ADDRESS_22(5) & !\FLASH_MEMORY_ADDRESS_22[4]~29\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010010100001010",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => FLASH_MEMORY_ADDRESS_22(5),
        datad => VCC,
        cin => \FLASH_MEMORY_ADDRESS_22[4]~29\,
        combout => \FLASH_MEMORY_ADDRESS_22[5]~30_combout\,
        cout => \FLASH_MEMORY_ADDRESS_22[5]~31\);

\FLASH_MEMORY_ADDRESS_22[5]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \u3|I2S_PCM_DATA_ACCESS_OUT~clkctrl_outclk\,
        datain => \FLASH_MEMORY_ADDRESS_22[5]~30_combout\,
        ena => \Equal0~0_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => FLASH_MEMORY_ADDRESS_22(5));

\u4|FLASH_MEMORY_ADDRESS[5]~feeder\ : cycloneii_lcell_comb
-- Equation(s):
-- \u4|FLASH_MEMORY_ADDRESS[5]~feeder_combout\ = FLASH_MEMORY_ADDRESS_22(5)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datad => FLASH_MEMORY_ADDRESS_22(5),
        combout => \u4|FLASH_MEMORY_ADDRESS[5]~feeder_combout\);

\u4|FLASH_MEMORY_ADDRESS[5]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \u4|ALT_INV_Flash_Memory_Clock~clkctrl_outclk\,
        datain => \u4|FLASH_MEMORY_ADDRESS[5]~feeder_combout\,
        ena => \u4|FLASH_MEMORY_ADDRESS[14]~0_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u4|FLASH_MEMORY_ADDRESS\(5));

\FLASH_MEMORY_ADDRESS_22[6]~32\ : cycloneii_lcell_comb
-- Equation(s):
-- \FLASH_MEMORY_ADDRESS_22[6]~32_combout\ = FLASH_MEMORY_ADDRESS_22(6) & !\FLASH_MEMORY_ADDRESS_22[5]~31\ # !FLASH_MEMORY_ADDRESS_22(6) & (\FLASH_MEMORY_ADDRESS_22[5]~31\ # GND)
-- \FLASH_MEMORY_ADDRESS_22[6]~33\ = CARRY(!\FLASH_MEMORY_ADDRESS_22[5]~31\ # !FLASH_MEMORY_ADDRESS_22(6))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110000111111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => FLASH_MEMORY_ADDRESS_22(6),
        datad => VCC,
        cin => \FLASH_MEMORY_ADDRESS_22[5]~31\,
        combout => \FLASH_MEMORY_ADDRESS_22[6]~32_combout\,
        cout => \FLASH_MEMORY_ADDRESS_22[6]~33\);

\FLASH_MEMORY_ADDRESS_22[6]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \u3|I2S_PCM_DATA_ACCESS_OUT~clkctrl_outclk\,
        datain => \FLASH_MEMORY_ADDRESS_22[6]~32_combout\,
        ena => \Equal0~0_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => FLASH_MEMORY_ADDRESS_22(6));

\u4|FLASH_MEMORY_ADDRESS[6]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \u4|ALT_INV_Flash_Memory_Clock~clkctrl_outclk\,
        sdata => FLASH_MEMORY_ADDRESS_22(6),
        sload => VCC,
        ena => \u4|FLASH_MEMORY_ADDRESS[14]~0_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u4|FLASH_MEMORY_ADDRESS\(6));

\FLASH_MEMORY_ADDRESS_22[7]~34\ : cycloneii_lcell_comb
-- Equation(s):
-- \FLASH_MEMORY_ADDRESS_22[7]~34_combout\ = FLASH_MEMORY_ADDRESS_22(7) & (\FLASH_MEMORY_ADDRESS_22[6]~33\ $ GND) # !FLASH_MEMORY_ADDRESS_22(7) & !\FLASH_MEMORY_ADDRESS_22[6]~33\ & VCC
-- \FLASH_MEMORY_ADDRESS_22[7]~35\ = CARRY(FLASH_MEMORY_ADDRESS_22(7) & !\FLASH_MEMORY_ADDRESS_22[6]~33\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010010100001010",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => FLASH_MEMORY_ADDRESS_22(7),
        datad => VCC,
        cin => \FLASH_MEMORY_ADDRESS_22[6]~33\,
        combout => \FLASH_MEMORY_ADDRESS_22[7]~34_combout\,
        cout => \FLASH_MEMORY_ADDRESS_22[7]~35\);

\FLASH_MEMORY_ADDRESS_22[7]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \u3|I2S_PCM_DATA_ACCESS_OUT~clkctrl_outclk\,
        datain => \FLASH_MEMORY_ADDRESS_22[7]~34_combout\,
        ena => \Equal0~0_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => FLASH_MEMORY_ADDRESS_22(7));

\u4|FLASH_MEMORY_ADDRESS[7]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \u4|ALT_INV_Flash_Memory_Clock~clkctrl_outclk\,
        sdata => FLASH_MEMORY_ADDRESS_22(7),
        sload => VCC,
        ena => \u4|FLASH_MEMORY_ADDRESS[14]~0_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u4|FLASH_MEMORY_ADDRESS\(7));

\FLASH_MEMORY_ADDRESS_22[8]~36\ : cycloneii_lcell_comb
-- Equation(s):
-- \FLASH_MEMORY_ADDRESS_22[8]~36_combout\ = FLASH_MEMORY_ADDRESS_22(8) & !\FLASH_MEMORY_ADDRESS_22[7]~35\ # !FLASH_MEMORY_ADDRESS_22(8) & (\FLASH_MEMORY_ADDRESS_22[7]~35\ # GND)
-- \FLASH_MEMORY_ADDRESS_22[8]~37\ = CARRY(!\FLASH_MEMORY_ADDRESS_22[7]~35\ # !FLASH_MEMORY_ADDRESS_22(8))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110000111111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => FLASH_MEMORY_ADDRESS_22(8),
        datad => VCC,
        cin => \FLASH_MEMORY_ADDRESS_22[7]~35\,
        combout => \FLASH_MEMORY_ADDRESS_22[8]~36_combout\,
        cout => \FLASH_MEMORY_ADDRESS_22[8]~37\);

\FLASH_MEMORY_ADDRESS_22[8]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \u3|I2S_PCM_DATA_ACCESS_OUT~clkctrl_outclk\,
        datain => \FLASH_MEMORY_ADDRESS_22[8]~36_combout\,
        ena => \Equal0~0_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => FLASH_MEMORY_ADDRESS_22(8));

\u4|FLASH_MEMORY_ADDRESS[8]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \u4|ALT_INV_Flash_Memory_Clock~clkctrl_outclk\,
        sdata => FLASH_MEMORY_ADDRESS_22(8),
        sload => VCC,
        ena => \u4|FLASH_MEMORY_ADDRESS[14]~0_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u4|FLASH_MEMORY_ADDRESS\(8));

\FLASH_MEMORY_ADDRESS_22[9]~38\ : cycloneii_lcell_comb
-- Equation(s):
-- \FLASH_MEMORY_ADDRESS_22[9]~38_combout\ = FLASH_MEMORY_ADDRESS_22(9) & (\FLASH_MEMORY_ADDRESS_22[8]~37\ $ GND) # !FLASH_MEMORY_ADDRESS_22(9) & !\FLASH_MEMORY_ADDRESS_22[8]~37\ & VCC
-- \FLASH_MEMORY_ADDRESS_22[9]~39\ = CARRY(FLASH_MEMORY_ADDRESS_22(9) & !\FLASH_MEMORY_ADDRESS_22[8]~37\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100001100001100",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => FLASH_MEMORY_ADDRESS_22(9),
        datad => VCC,
        cin => \FLASH_MEMORY_ADDRESS_22[8]~37\,
        combout => \FLASH_MEMORY_ADDRESS_22[9]~38_combout\,
        cout => \FLASH_MEMORY_ADDRESS_22[9]~39\);

\FLASH_MEMORY_ADDRESS_22[9]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \u3|I2S_PCM_DATA_ACCESS_OUT~clkctrl_outclk\,
        datain => \FLASH_MEMORY_ADDRESS_22[9]~38_combout\,
        ena => \Equal0~0_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => FLASH_MEMORY_ADDRESS_22(9));

\u4|FLASH_MEMORY_ADDRESS[9]~feeder\ : cycloneii_lcell_comb
-- Equation(s):
-- \u4|FLASH_MEMORY_ADDRESS[9]~feeder_combout\ = FLASH_MEMORY_ADDRESS_22(9)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datad => FLASH_MEMORY_ADDRESS_22(9),
        combout => \u4|FLASH_MEMORY_ADDRESS[9]~feeder_combout\);

\u4|FLASH_MEMORY_ADDRESS[9]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \u4|ALT_INV_Flash_Memory_Clock~clkctrl_outclk\,
        datain => \u4|FLASH_MEMORY_ADDRESS[9]~feeder_combout\,
        ena => \u4|FLASH_MEMORY_ADDRESS[14]~0_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u4|FLASH_MEMORY_ADDRESS\(9));

\FLASH_MEMORY_ADDRESS_22[10]~40\ : cycloneii_lcell_comb
-- Equation(s):
-- \FLASH_MEMORY_ADDRESS_22[10]~40_combout\ = FLASH_MEMORY_ADDRESS_22(10) & !\FLASH_MEMORY_ADDRESS_22[9]~39\ # !FLASH_MEMORY_ADDRESS_22(10) & (\FLASH_MEMORY_ADDRESS_22[9]~39\ # GND)
-- \FLASH_MEMORY_ADDRESS_22[10]~41\ = CARRY(!\FLASH_MEMORY_ADDRESS_22[9]~39\ # !FLASH_MEMORY_ADDRESS_22(10))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110000111111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => FLASH_MEMORY_ADDRESS_22(10),
        datad => VCC,
        cin => \FLASH_MEMORY_ADDRESS_22[9]~39\,
        combout => \FLASH_MEMORY_ADDRESS_22[10]~40_combout\,
        cout => \FLASH_MEMORY_ADDRESS_22[10]~41\);

\FLASH_MEMORY_ADDRESS_22[10]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \u3|I2S_PCM_DATA_ACCESS_OUT~clkctrl_outclk\,
        datain => \FLASH_MEMORY_ADDRESS_22[10]~40_combout\,
        ena => \Equal0~0_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => FLASH_MEMORY_ADDRESS_22(10));

\u4|FLASH_MEMORY_ADDRESS[10]~feeder\ : cycloneii_lcell_comb
-- Equation(s):
-- \u4|FLASH_MEMORY_ADDRESS[10]~feeder_combout\ = FLASH_MEMORY_ADDRESS_22(10)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datad => FLASH_MEMORY_ADDRESS_22(10),
        combout => \u4|FLASH_MEMORY_ADDRESS[10]~feeder_combout\);

\u4|FLASH_MEMORY_ADDRESS[10]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \u4|ALT_INV_Flash_Memory_Clock~clkctrl_outclk\,
        datain => \u4|FLASH_MEMORY_ADDRESS[10]~feeder_combout\,
        ena => \u4|FLASH_MEMORY_ADDRESS[14]~0_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u4|FLASH_MEMORY_ADDRESS\(10));

\FLASH_MEMORY_ADDRESS_22[11]~42\ : cycloneii_lcell_comb
-- Equation(s):
-- \FLASH_MEMORY_ADDRESS_22[11]~42_combout\ = FLASH_MEMORY_ADDRESS_22(11) & (\FLASH_MEMORY_ADDRESS_22[10]~41\ $ GND) # !FLASH_MEMORY_ADDRESS_22(11) & !\FLASH_MEMORY_ADDRESS_22[10]~41\ & VCC
-- \FLASH_MEMORY_ADDRESS_22[11]~43\ = CARRY(FLASH_MEMORY_ADDRESS_22(11) & !\FLASH_MEMORY_ADDRESS_22[10]~41\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100001100001100",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => FLASH_MEMORY_ADDRESS_22(11),
        datad => VCC,
        cin => \FLASH_MEMORY_ADDRESS_22[10]~41\,
        combout => \FLASH_MEMORY_ADDRESS_22[11]~42_combout\,
        cout => \FLASH_MEMORY_ADDRESS_22[11]~43\);

\FLASH_MEMORY_ADDRESS_22[11]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \u3|I2S_PCM_DATA_ACCESS_OUT~clkctrl_outclk\,
        datain => \FLASH_MEMORY_ADDRESS_22[11]~42_combout\,
        ena => \Equal0~0_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => FLASH_MEMORY_ADDRESS_22(11));

\u4|FLASH_MEMORY_ADDRESS[11]~feeder\ : cycloneii_lcell_comb
-- Equation(s):
-- \u4|FLASH_MEMORY_ADDRESS[11]~feeder_combout\ = FLASH_MEMORY_ADDRESS_22(11)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datad => FLASH_MEMORY_ADDRESS_22(11),
        combout => \u4|FLASH_MEMORY_ADDRESS[11]~feeder_combout\);

\u4|FLASH_MEMORY_ADDRESS[11]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \u4|ALT_INV_Flash_Memory_Clock~clkctrl_outclk\,
        datain => \u4|FLASH_MEMORY_ADDRESS[11]~feeder_combout\,
        ena => \u4|FLASH_MEMORY_ADDRESS[14]~0_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u4|FLASH_MEMORY_ADDRESS\(11));

\FLASH_MEMORY_ADDRESS_22[12]~44\ : cycloneii_lcell_comb
-- Equation(s):
-- \FLASH_MEMORY_ADDRESS_22[12]~44_combout\ = FLASH_MEMORY_ADDRESS_22(12) & !\FLASH_MEMORY_ADDRESS_22[11]~43\ # !FLASH_MEMORY_ADDRESS_22(12) & (\FLASH_MEMORY_ADDRESS_22[11]~43\ # GND)
-- \FLASH_MEMORY_ADDRESS_22[12]~45\ = CARRY(!\FLASH_MEMORY_ADDRESS_22[11]~43\ # !FLASH_MEMORY_ADDRESS_22(12))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110000111111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => FLASH_MEMORY_ADDRESS_22(12),
        datad => VCC,
        cin => \FLASH_MEMORY_ADDRESS_22[11]~43\,
        combout => \FLASH_MEMORY_ADDRESS_22[12]~44_combout\,
        cout => \FLASH_MEMORY_ADDRESS_22[12]~45\);

\FLASH_MEMORY_ADDRESS_22[12]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \u3|I2S_PCM_DATA_ACCESS_OUT~clkctrl_outclk\,
        datain => \FLASH_MEMORY_ADDRESS_22[12]~44_combout\,
        ena => \Equal0~0_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => FLASH_MEMORY_ADDRESS_22(12));

\u4|FLASH_MEMORY_ADDRESS[12]~feeder\ : cycloneii_lcell_comb
-- Equation(s):
-- \u4|FLASH_MEMORY_ADDRESS[12]~feeder_combout\ = FLASH_MEMORY_ADDRESS_22(12)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datad => FLASH_MEMORY_ADDRESS_22(12),
        combout => \u4|FLASH_MEMORY_ADDRESS[12]~feeder_combout\);

\u4|FLASH_MEMORY_ADDRESS[12]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \u4|ALT_INV_Flash_Memory_Clock~clkctrl_outclk\,
        datain => \u4|FLASH_MEMORY_ADDRESS[12]~feeder_combout\,
        ena => \u4|FLASH_MEMORY_ADDRESS[14]~0_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u4|FLASH_MEMORY_ADDRESS\(12));

\FLASH_MEMORY_ADDRESS_22[13]~46\ : cycloneii_lcell_comb
-- Equation(s):
-- \FLASH_MEMORY_ADDRESS_22[13]~46_combout\ = FLASH_MEMORY_ADDRESS_22(13) & (\FLASH_MEMORY_ADDRESS_22[12]~45\ $ GND) # !FLASH_MEMORY_ADDRESS_22(13) & !\FLASH_MEMORY_ADDRESS_22[12]~45\ & VCC
-- \FLASH_MEMORY_ADDRESS_22[13]~47\ = CARRY(FLASH_MEMORY_ADDRESS_22(13) & !\FLASH_MEMORY_ADDRESS_22[12]~45\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100001100001100",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => FLASH_MEMORY_ADDRESS_22(13),
        datad => VCC,
        cin => \FLASH_MEMORY_ADDRESS_22[12]~45\,
        combout => \FLASH_MEMORY_ADDRESS_22[13]~46_combout\,
        cout => \FLASH_MEMORY_ADDRESS_22[13]~47\);

\FLASH_MEMORY_ADDRESS_22[13]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \u3|I2S_PCM_DATA_ACCESS_OUT~clkctrl_outclk\,
        datain => \FLASH_MEMORY_ADDRESS_22[13]~46_combout\,
        ena => \Equal0~0_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => FLASH_MEMORY_ADDRESS_22(13));

\u4|FLASH_MEMORY_ADDRESS[13]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \u4|ALT_INV_Flash_Memory_Clock~clkctrl_outclk\,
        sdata => FLASH_MEMORY_ADDRESS_22(13),
        sload => VCC,
        ena => \u4|FLASH_MEMORY_ADDRESS[14]~0_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u4|FLASH_MEMORY_ADDRESS\(13));

\FLASH_MEMORY_ADDRESS_22[14]~48\ : cycloneii_lcell_comb
-- Equation(s):
-- \FLASH_MEMORY_ADDRESS_22[14]~48_combout\ = FLASH_MEMORY_ADDRESS_22(14) & !\FLASH_MEMORY_ADDRESS_22[13]~47\ # !FLASH_MEMORY_ADDRESS_22(14) & (\FLASH_MEMORY_ADDRESS_22[13]~47\ # GND)
-- \FLASH_MEMORY_ADDRESS_22[14]~49\ = CARRY(!\FLASH_MEMORY_ADDRESS_22[13]~47\ # !FLASH_MEMORY_ADDRESS_22(14))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0101101001011111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => FLASH_MEMORY_ADDRESS_22(14),
        datad => VCC,
        cin => \FLASH_MEMORY_ADDRESS_22[13]~47\,
        combout => \FLASH_MEMORY_ADDRESS_22[14]~48_combout\,
        cout => \FLASH_MEMORY_ADDRESS_22[14]~49\);

\FLASH_MEMORY_ADDRESS_22[14]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \u3|I2S_PCM_DATA_ACCESS_OUT~clkctrl_outclk\,
        datain => \FLASH_MEMORY_ADDRESS_22[14]~48_combout\,
        ena => \Equal0~0_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => FLASH_MEMORY_ADDRESS_22(14));

\u4|FLASH_MEMORY_ADDRESS[14]~feeder\ : cycloneii_lcell_comb
-- Equation(s):
-- \u4|FLASH_MEMORY_ADDRESS[14]~feeder_combout\ = FLASH_MEMORY_ADDRESS_22(14)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datad => FLASH_MEMORY_ADDRESS_22(14),
        combout => \u4|FLASH_MEMORY_ADDRESS[14]~feeder_combout\);

\u4|FLASH_MEMORY_ADDRESS[14]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \u4|ALT_INV_Flash_Memory_Clock~clkctrl_outclk\,
        datain => \u4|FLASH_MEMORY_ADDRESS[14]~feeder_combout\,
        ena => \u4|FLASH_MEMORY_ADDRESS[14]~0_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u4|FLASH_MEMORY_ADDRESS\(14));

\FLASH_MEMORY_ADDRESS_22[15]~50\ : cycloneii_lcell_comb
-- Equation(s):
-- \FLASH_MEMORY_ADDRESS_22[15]~50_combout\ = FLASH_MEMORY_ADDRESS_22(15) & (\FLASH_MEMORY_ADDRESS_22[14]~49\ $ GND) # !FLASH_MEMORY_ADDRESS_22(15) & !\FLASH_MEMORY_ADDRESS_22[14]~49\ & VCC
-- \FLASH_MEMORY_ADDRESS_22[15]~51\ = CARRY(FLASH_MEMORY_ADDRESS_22(15) & !\FLASH_MEMORY_ADDRESS_22[14]~49\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1100001100001100",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => FLASH_MEMORY_ADDRESS_22(15),
        datad => VCC,
        cin => \FLASH_MEMORY_ADDRESS_22[14]~49\,
        combout => \FLASH_MEMORY_ADDRESS_22[15]~50_combout\,
        cout => \FLASH_MEMORY_ADDRESS_22[15]~51\);

\FLASH_MEMORY_ADDRESS_22[15]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \u3|I2S_PCM_DATA_ACCESS_OUT~clkctrl_outclk\,
        datain => \FLASH_MEMORY_ADDRESS_22[15]~50_combout\,
        ena => \Equal0~0_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => FLASH_MEMORY_ADDRESS_22(15));

\u4|FLASH_MEMORY_ADDRESS[15]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \u4|ALT_INV_Flash_Memory_Clock~clkctrl_outclk\,
        sdata => FLASH_MEMORY_ADDRESS_22(15),
        sload => VCC,
        ena => \u4|FLASH_MEMORY_ADDRESS[14]~0_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u4|FLASH_MEMORY_ADDRESS\(15));

\FLASH_MEMORY_ADDRESS_22[16]~52\ : cycloneii_lcell_comb
-- Equation(s):
-- \FLASH_MEMORY_ADDRESS_22[16]~52_combout\ = FLASH_MEMORY_ADDRESS_22(16) & !\FLASH_MEMORY_ADDRESS_22[15]~51\ # !FLASH_MEMORY_ADDRESS_22(16) & (\FLASH_MEMORY_ADDRESS_22[15]~51\ # GND)
-- \FLASH_MEMORY_ADDRESS_22[16]~53\ = CARRY(!\FLASH_MEMORY_ADDRESS_22[15]~51\ # !FLASH_MEMORY_ADDRESS_22(16))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0101101001011111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => FLASH_MEMORY_ADDRESS_22(16),
        datad => VCC,
        cin => \FLASH_MEMORY_ADDRESS_22[15]~51\,
        combout => \FLASH_MEMORY_ADDRESS_22[16]~52_combout\,
        cout => \FLASH_MEMORY_ADDRESS_22[16]~53\);

\FLASH_MEMORY_ADDRESS_22[16]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \u3|I2S_PCM_DATA_ACCESS_OUT~clkctrl_outclk\,
        datain => \FLASH_MEMORY_ADDRESS_22[16]~52_combout\,
        ena => \Equal0~0_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => FLASH_MEMORY_ADDRESS_22(16));

\u4|FLASH_MEMORY_ADDRESS[16]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \u4|ALT_INV_Flash_Memory_Clock~clkctrl_outclk\,
        sdata => FLASH_MEMORY_ADDRESS_22(16),
        sload => VCC,
        ena => \u4|FLASH_MEMORY_ADDRESS[14]~0_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u4|FLASH_MEMORY_ADDRESS\(16));

\FLASH_MEMORY_ADDRESS_22[17]~54\ : cycloneii_lcell_comb
-- Equation(s):
-- \FLASH_MEMORY_ADDRESS_22[17]~54_combout\ = FLASH_MEMORY_ADDRESS_22(17) & (\FLASH_MEMORY_ADDRESS_22[16]~53\ $ GND) # !FLASH_MEMORY_ADDRESS_22(17) & !\FLASH_MEMORY_ADDRESS_22[16]~53\ & VCC
-- \FLASH_MEMORY_ADDRESS_22[17]~55\ = CARRY(FLASH_MEMORY_ADDRESS_22(17) & !\FLASH_MEMORY_ADDRESS_22[16]~53\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010010100001010",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => FLASH_MEMORY_ADDRESS_22(17),
        datad => VCC,
        cin => \FLASH_MEMORY_ADDRESS_22[16]~53\,
        combout => \FLASH_MEMORY_ADDRESS_22[17]~54_combout\,
        cout => \FLASH_MEMORY_ADDRESS_22[17]~55\);

\FLASH_MEMORY_ADDRESS_22[17]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \u3|I2S_PCM_DATA_ACCESS_OUT~clkctrl_outclk\,
        datain => \FLASH_MEMORY_ADDRESS_22[17]~54_combout\,
        ena => \Equal0~0_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => FLASH_MEMORY_ADDRESS_22(17));

\u4|FLASH_MEMORY_ADDRESS[17]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \u4|ALT_INV_Flash_Memory_Clock~clkctrl_outclk\,
        sdata => FLASH_MEMORY_ADDRESS_22(17),
        sload => VCC,
        ena => \u4|FLASH_MEMORY_ADDRESS[14]~0_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u4|FLASH_MEMORY_ADDRESS\(17));

\FLASH_MEMORY_ADDRESS_22[18]~56\ : cycloneii_lcell_comb
-- Equation(s):
-- \FLASH_MEMORY_ADDRESS_22[18]~56_combout\ = FLASH_MEMORY_ADDRESS_22(18) & !\FLASH_MEMORY_ADDRESS_22[17]~55\ # !FLASH_MEMORY_ADDRESS_22(18) & (\FLASH_MEMORY_ADDRESS_22[17]~55\ # GND)
-- \FLASH_MEMORY_ADDRESS_22[18]~57\ = CARRY(!\FLASH_MEMORY_ADDRESS_22[17]~55\ # !FLASH_MEMORY_ADDRESS_22(18))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110000111111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => FLASH_MEMORY_ADDRESS_22(18),
        datad => VCC,
        cin => \FLASH_MEMORY_ADDRESS_22[17]~55\,
        combout => \FLASH_MEMORY_ADDRESS_22[18]~56_combout\,
        cout => \FLASH_MEMORY_ADDRESS_22[18]~57\);

\FLASH_MEMORY_ADDRESS_22[18]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \u3|I2S_PCM_DATA_ACCESS_OUT~clkctrl_outclk\,
        datain => \FLASH_MEMORY_ADDRESS_22[18]~56_combout\,
        ena => \Equal0~0_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => FLASH_MEMORY_ADDRESS_22(18));

\u4|FLASH_MEMORY_ADDRESS[18]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \u4|ALT_INV_Flash_Memory_Clock~clkctrl_outclk\,
        sdata => FLASH_MEMORY_ADDRESS_22(18),
        sload => VCC,
        ena => \u4|FLASH_MEMORY_ADDRESS[14]~0_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u4|FLASH_MEMORY_ADDRESS\(18));

\FLASH_MEMORY_ADDRESS_22[19]~58\ : cycloneii_lcell_comb
-- Equation(s):
-- \FLASH_MEMORY_ADDRESS_22[19]~58_combout\ = FLASH_MEMORY_ADDRESS_22(19) & (\FLASH_MEMORY_ADDRESS_22[18]~57\ $ GND) # !FLASH_MEMORY_ADDRESS_22(19) & !\FLASH_MEMORY_ADDRESS_22[18]~57\ & VCC
-- \FLASH_MEMORY_ADDRESS_22[19]~59\ = CARRY(FLASH_MEMORY_ADDRESS_22(19) & !\FLASH_MEMORY_ADDRESS_22[18]~57\)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010010100001010",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => FLASH_MEMORY_ADDRESS_22(19),
        datad => VCC,
        cin => \FLASH_MEMORY_ADDRESS_22[18]~57\,
        combout => \FLASH_MEMORY_ADDRESS_22[19]~58_combout\,
        cout => \FLASH_MEMORY_ADDRESS_22[19]~59\);

\FLASH_MEMORY_ADDRESS_22[19]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \u3|I2S_PCM_DATA_ACCESS_OUT~clkctrl_outclk\,
        datain => \FLASH_MEMORY_ADDRESS_22[19]~58_combout\,
        ena => \Equal0~0_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => FLASH_MEMORY_ADDRESS_22(19));

\u4|FLASH_MEMORY_ADDRESS[19]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \u4|ALT_INV_Flash_Memory_Clock~clkctrl_outclk\,
        sdata => FLASH_MEMORY_ADDRESS_22(19),
        sload => VCC,
        ena => \u4|FLASH_MEMORY_ADDRESS[14]~0_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u4|FLASH_MEMORY_ADDRESS\(19));

\FLASH_MEMORY_ADDRESS_22[20]~60\ : cycloneii_lcell_comb
-- Equation(s):
-- \FLASH_MEMORY_ADDRESS_22[20]~60_combout\ = FLASH_MEMORY_ADDRESS_22(20) & !\FLASH_MEMORY_ADDRESS_22[19]~59\ # !FLASH_MEMORY_ADDRESS_22(20) & (\FLASH_MEMORY_ADDRESS_22[19]~59\ # GND)
-- \FLASH_MEMORY_ADDRESS_22[20]~61\ = CARRY(!\FLASH_MEMORY_ADDRESS_22[19]~59\ # !FLASH_MEMORY_ADDRESS_22(20))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0011110000111111",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        datab => FLASH_MEMORY_ADDRESS_22(20),
        datad => VCC,
        cin => \FLASH_MEMORY_ADDRESS_22[19]~59\,
        combout => \FLASH_MEMORY_ADDRESS_22[20]~60_combout\,
        cout => \FLASH_MEMORY_ADDRESS_22[20]~61\);

\FLASH_MEMORY_ADDRESS_22[20]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \u3|I2S_PCM_DATA_ACCESS_OUT~clkctrl_outclk\,
        datain => \FLASH_MEMORY_ADDRESS_22[20]~60_combout\,
        ena => \Equal0~0_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => FLASH_MEMORY_ADDRESS_22(20));

\u4|FLASH_MEMORY_ADDRESS[20]~feeder\ : cycloneii_lcell_comb
-- Equation(s):
-- \u4|FLASH_MEMORY_ADDRESS[20]~feeder_combout\ = FLASH_MEMORY_ADDRESS_22(20)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datad => FLASH_MEMORY_ADDRESS_22(20),
        combout => \u4|FLASH_MEMORY_ADDRESS[20]~feeder_combout\);

\u4|FLASH_MEMORY_ADDRESS[20]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \u4|ALT_INV_Flash_Memory_Clock~clkctrl_outclk\,
        datain => \u4|FLASH_MEMORY_ADDRESS[20]~feeder_combout\,
        ena => \u4|FLASH_MEMORY_ADDRESS[14]~0_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u4|FLASH_MEMORY_ADDRESS\(20));

\FLASH_MEMORY_ADDRESS_22[21]~62\ : cycloneii_lcell_comb
-- Equation(s):
-- \FLASH_MEMORY_ADDRESS_22[21]~62_combout\ = FLASH_MEMORY_ADDRESS_22(21) $ !\FLASH_MEMORY_ADDRESS_22[20]~61\

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1010010110100101",
        sum_lutc_input => "cin")
-- pragma translate_on
PORT MAP (
        dataa => FLASH_MEMORY_ADDRESS_22(21),
        cin => \FLASH_MEMORY_ADDRESS_22[20]~61\,
        combout => \FLASH_MEMORY_ADDRESS_22[21]~62_combout\);

\FLASH_MEMORY_ADDRESS_22[21]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \u3|I2S_PCM_DATA_ACCESS_OUT~clkctrl_outclk\,
        datain => \FLASH_MEMORY_ADDRESS_22[21]~62_combout\,
        ena => \Equal0~0_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => FLASH_MEMORY_ADDRESS_22(21));

\u4|FLASH_MEMORY_ADDRESS[21]~feeder\ : cycloneii_lcell_comb
-- Equation(s):
-- \u4|FLASH_MEMORY_ADDRESS[21]~feeder_combout\ = FLASH_MEMORY_ADDRESS_22(21)

-- pragma translate_off
GENERIC MAP (
        lut_mask => "1111111100000000",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        datad => FLASH_MEMORY_ADDRESS_22(21),
        combout => \u4|FLASH_MEMORY_ADDRESS[21]~feeder_combout\);

\u4|FLASH_MEMORY_ADDRESS[21]\ : cycloneii_lcell_ff
PORT MAP (
        clk => \u4|ALT_INV_Flash_Memory_Clock~clkctrl_outclk\,
        datain => \u4|FLASH_MEMORY_ADDRESS[21]~feeder_combout\,
        ena => \u4|FLASH_MEMORY_ADDRESS[14]~0_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u4|FLASH_MEMORY_ADDRESS\(21));

\u4|Mux3~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u4|Mux3~2_combout\ = \u4|Flash_Memory_Counter\(1) & !\u4|Flash_Memory_Counter\(0) & \u4|FLASH_MEMORY_nOE~regout\ & !\u4|Flash_Memory_Counter\(2) # !\u4|Flash_Memory_Counter\(1) & (\u4|FLASH_MEMORY_nOE~regout\ # \u4|Flash_Memory_Counter\(0) $ 
-- !\u4|Flash_Memory_Counter\(2))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0101010001110001",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u4|Flash_Memory_Counter\(1),
        datab => \u4|Flash_Memory_Counter\(0),
        datac => \u4|FLASH_MEMORY_nOE~regout\,
        datad => \u4|Flash_Memory_Counter\(2),
        combout => \u4|Mux3~2_combout\);

\u4|FLASH_MEMORY_nOE\ : cycloneii_lcell_ff
PORT MAP (
        clk => \u4|ALT_INV_Flash_Memory_Clock~clkctrl_outclk\,
        datain => \u4|Mux3~2_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u4|FLASH_MEMORY_nOE~regout\);

\u4|Mux4~2\ : cycloneii_lcell_comb
-- Equation(s):
-- \u4|Mux4~2_combout\ = \u4|Flash_Memory_Counter\(1) & \u4|Flash_Memory_Counter\(0) & \u4|FLASH_MEMORY_nCE~regout\ & !\u4|Flash_Memory_Counter\(2) # !\u4|Flash_Memory_Counter\(1) & (\u4|FLASH_MEMORY_nCE~regout\ # \u4|Flash_Memory_Counter\(0) $ 
-- !\u4|Flash_Memory_Counter\(2))

-- pragma translate_off
GENERIC MAP (
        lut_mask => "0101010011010001",
        sum_lutc_input => "datac")
-- pragma translate_on
PORT MAP (
        dataa => \u4|Flash_Memory_Counter\(1),
        datab => \u4|Flash_Memory_Counter\(0),
        datac => \u4|FLASH_MEMORY_nCE~regout\,
        datad => \u4|Flash_Memory_Counter\(2),
        combout => \u4|Mux4~2_combout\);

\u4|FLASH_MEMORY_nCE\ : cycloneii_lcell_ff
PORT MAP (
        clk => \u4|ALT_INV_Flash_Memory_Clock~clkctrl_outclk\,
        datain => \u4|Mux4~2_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        regout => \u4|FLASH_MEMORY_nCE~regout\);

\I2C_DATA_INOUT~I\ : cycloneii_io
-- pragma translate_off
GENERIC MAP (
        input_async_reset => "none",
        input_power_up => "low",
        input_register_mode => "none",
        input_sync_reset => "none",
        oe_async_reset => "none",
        oe_power_up => "low",
        oe_register_mode => "none",
        oe_sync_reset => "none",
        operation_mode => "bidir",
        output_async_reset => "none",
        output_power_up => "low",
        output_register_mode => "none",
        output_sync_reset => "none")
-- pragma translate_on
PORT MAP (
        datain => \u2|I2C_DATA~reg0_regout\,
        oe => VCC,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        devoe => ww_devoe,
        padio => I2C_DATA_INOUT);

\I2S_DATA_INOUT~I\ : cycloneii_io
-- pragma translate_off
GENERIC MAP (
        input_async_reset => "none",
        input_power_up => "low",
        input_register_mode => "none",
        input_sync_reset => "none",
        oe_async_reset => "none",
        oe_power_up => "low",
        oe_register_mode => "none",
        oe_sync_reset => "none",
        operation_mode => "bidir",
        output_async_reset => "none",
        output_power_up => "low",
        output_register_mode => "none",
        output_sync_reset => "none")
-- pragma translate_on
PORT MAP (
        datain => \u3|I2S_DATA_INOUT~reg0_regout\,
        oe => VCC,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        devoe => ww_devoe,
        padio => I2S_DATA_INOUT);

\S_SEVEN_SEGMENT_1_OUT[0]~I\ : cycloneii_io
-- pragma translate_off
GENERIC MAP (
        input_async_reset => "none",
        input_power_up => "low",
        input_register_mode => "none",
        input_sync_reset => "none",
        oe_async_reset => "none",
        oe_power_up => "low",
        oe_register_mode => "none",
        oe_sync_reset => "none",
        operation_mode => "output",
        output_async_reset => "none",
        output_power_up => "low",
        output_register_mode => "none",
        output_sync_reset => "none")
-- pragma translate_on
PORT MAP (
        datain => GND,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        devoe => ww_devoe,
        oe => VCC,
        padio => ww_S_SEVEN_SEGMENT_1_OUT(0));

\S_SEVEN_SEGMENT_1_OUT[1]~I\ : cycloneii_io
-- pragma translate_off
GENERIC MAP (
        input_async_reset => "none",
        input_power_up => "low",
        input_register_mode => "none",
        input_sync_reset => "none",
        oe_async_reset => "none",
        oe_power_up => "low",
        oe_register_mode => "none",
        oe_sync_reset => "none",
        operation_mode => "output",
        output_async_reset => "none",
        output_power_up => "low",
        output_register_mode => "none",
        output_sync_reset => "none")
-- pragma translate_on
PORT MAP (
        datain => GND,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        devoe => ww_devoe,
        oe => VCC,
        padio => ww_S_SEVEN_SEGMENT_1_OUT(1));

\S_SEVEN_SEGMENT_1_OUT[2]~I\ : cycloneii_io
-- pragma translate_off
GENERIC MAP (
        input_async_reset => "none",
        input_power_up => "low",
        input_register_mode => "none",
        input_sync_reset => "none",
        oe_async_reset => "none",
        oe_power_up => "low",
        oe_register_mode => "none",
        oe_sync_reset => "none",
        operation_mode => "output",
        output_async_reset => "none",
        output_power_up => "low",
        output_register_mode => "none",
        output_sync_reset => "none")
-- pragma translate_on
PORT MAP (
        datain => GND,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        devoe => ww_devoe,
        oe => VCC,
        padio => ww_S_SEVEN_SEGMENT_1_OUT(2));

\S_SEVEN_SEGMENT_1_OUT[3]~I\ : cycloneii_io
-- pragma translate_off
GENERIC MAP (
        input_async_reset => "none",
        input_power_up => "low",
        input_register_mode => "none",
        input_sync_reset => "none",
        oe_async_reset => "none",
        oe_power_up => "low",
        oe_register_mode => "none",
        oe_sync_reset => "none",
        operation_mode => "output",
        output_async_reset => "none",
        output_power_up => "low",
        output_register_mode => "none",
        output_sync_reset => "none")
-- pragma translate_on
PORT MAP (
        datain => GND,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        devoe => ww_devoe,
        oe => VCC,
        padio => ww_S_SEVEN_SEGMENT_1_OUT(3));

\S_SEVEN_SEGMENT_1_OUT[4]~I\ : cycloneii_io
-- pragma translate_off
GENERIC MAP (
        input_async_reset => "none",
        input_power_up => "low",
        input_register_mode => "none",
        input_sync_reset => "none",
        oe_async_reset => "none",
        oe_power_up => "low",
        oe_register_mode => "none",
        oe_sync_reset => "none",
        operation_mode => "output",
        output_async_reset => "none",
        output_power_up => "low",
        output_register_mode => "none",
        output_sync_reset => "none")
-- pragma translate_on
PORT MAP (
        datain => GND,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        devoe => ww_devoe,
        oe => VCC,
        padio => ww_S_SEVEN_SEGMENT_1_OUT(4));

\S_SEVEN_SEGMENT_1_OUT[5]~I\ : cycloneii_io
-- pragma translate_off
GENERIC MAP (
        input_async_reset => "none",
        input_power_up => "low",
        input_register_mode => "none",
        input_sync_reset => "none",
        oe_async_reset => "none",
        oe_power_up => "low",
        oe_register_mode => "none",
        oe_sync_reset => "none",
        operation_mode => "output",
        output_async_reset => "none",
        output_power_up => "low",
        output_register_mode => "none",
        output_sync_reset => "none")
-- pragma translate_on
PORT MAP (
        datain => GND,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        devoe => ww_devoe,
        oe => VCC,
        padio => ww_S_SEVEN_SEGMENT_1_OUT(5));

\S_SEVEN_SEGMENT_1_OUT[6]~I\ : cycloneii_io
-- pragma translate_off
GENERIC MAP (
        input_async_reset => "none",
        input_power_up => "low",
        input_register_mode => "none",
        input_sync_reset => "none",
        oe_async_reset => "none",
        oe_power_up => "low",
        oe_register_mode => "none",
        oe_sync_reset => "none",
        operation_mode => "output",
        output_async_reset => "none",
        output_power_up => "low",
        output_register_mode => "none",
        output_sync_reset => "none")
-- pragma translate_on
PORT MAP (
        datain => VCC,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        devoe => ww_devoe,
        oe => VCC,
        padio => ww_S_SEVEN_SEGMENT_1_OUT(6));

\S_SEVEN_SEGMENT_2_OUT[0]~I\ : cycloneii_io
-- pragma translate_off
GENERIC MAP (
        input_async_reset => "none",
        input_power_up => "low",
        input_register_mode => "none",
        input_sync_reset => "none",
        oe_async_reset => "none",
        oe_power_up => "low",
        oe_register_mode => "none",
        oe_sync_reset => "none",
        operation_mode => "output",
        output_async_reset => "none",
        output_power_up => "low",
        output_register_mode => "none",
        output_sync_reset => "none")
-- pragma translate_on
PORT MAP (
        datain => GND,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        devoe => ww_devoe,
        oe => VCC,
        padio => ww_S_SEVEN_SEGMENT_2_OUT(0));

\S_SEVEN_SEGMENT_2_OUT[1]~I\ : cycloneii_io
-- pragma translate_off
GENERIC MAP (
        input_async_reset => "none",
        input_power_up => "low",
        input_register_mode => "none",
        input_sync_reset => "none",
        oe_async_reset => "none",
        oe_power_up => "low",
        oe_register_mode => "none",
        oe_sync_reset => "none",
        operation_mode => "output",
        output_async_reset => "none",
        output_power_up => "low",
        output_register_mode => "none",
        output_sync_reset => "none")
-- pragma translate_on
PORT MAP (
        datain => GND,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        devoe => ww_devoe,
        oe => VCC,
        padio => ww_S_SEVEN_SEGMENT_2_OUT(1));

\S_SEVEN_SEGMENT_2_OUT[2]~I\ : cycloneii_io
-- pragma translate_off
GENERIC MAP (
        input_async_reset => "none",
        input_power_up => "low",
        input_register_mode => "none",
        input_sync_reset => "none",
        oe_async_reset => "none",
        oe_power_up => "low",
        oe_register_mode => "none",
        oe_sync_reset => "none",
        operation_mode => "output",
        output_async_reset => "none",
        output_power_up => "low",
        output_register_mode => "none",
        output_sync_reset => "none")
-- pragma translate_on
PORT MAP (
        datain => GND,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        devoe => ww_devoe,
        oe => VCC,
        padio => ww_S_SEVEN_SEGMENT_2_OUT(2));

\S_SEVEN_SEGMENT_2_OUT[3]~I\ : cycloneii_io
-- pragma translate_off
GENERIC MAP (
        input_async_reset => "none",
        input_power_up => "low",
        input_register_mode => "none",
        input_sync_reset => "none",
        oe_async_reset => "none",
        oe_power_up => "low",
        oe_register_mode => "none",
        oe_sync_reset => "none",
        operation_mode => "output",
        output_async_reset => "none",
        output_power_up => "low",
        output_register_mode => "none",
        output_sync_reset => "none")
-- pragma translate_on
PORT MAP (
        datain => GND,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        devoe => ww_devoe,
        oe => VCC,
        padio => ww_S_SEVEN_SEGMENT_2_OUT(3));

\S_SEVEN_SEGMENT_2_OUT[4]~I\ : cycloneii_io
-- pragma translate_off
GENERIC MAP (
        input_async_reset => "none",
        input_power_up => "low",
        input_register_mode => "none",
        input_sync_reset => "none",
        oe_async_reset => "none",
        oe_power_up => "low",
        oe_register_mode => "none",
        oe_sync_reset => "none",
        operation_mode => "output",
        output_async_reset => "none",
        output_power_up => "low",
        output_register_mode => "none",
        output_sync_reset => "none")
-- pragma translate_on
PORT MAP (
        datain => GND,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        devoe => ww_devoe,
        oe => VCC,
        padio => ww_S_SEVEN_SEGMENT_2_OUT(4));

\S_SEVEN_SEGMENT_2_OUT[5]~I\ : cycloneii_io
-- pragma translate_off
GENERIC MAP (
        input_async_reset => "none",
        input_power_up => "low",
        input_register_mode => "none",
        input_sync_reset => "none",
        oe_async_reset => "none",
        oe_power_up => "low",
        oe_register_mode => "none",
        oe_sync_reset => "none",
        operation_mode => "output",
        output_async_reset => "none",
        output_power_up => "low",
        output_register_mode => "none",
        output_sync_reset => "none")
-- pragma translate_on
PORT MAP (
        datain => GND,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        devoe => ww_devoe,
        oe => VCC,
        padio => ww_S_SEVEN_SEGMENT_2_OUT(5));

\S_SEVEN_SEGMENT_2_OUT[6]~I\ : cycloneii_io
-- pragma translate_off
GENERIC MAP (
        input_async_reset => "none",
        input_power_up => "low",
        input_register_mode => "none",
        input_sync_reset => "none",
        oe_async_reset => "none",
        oe_power_up => "low",
        oe_register_mode => "none",
        oe_sync_reset => "none",
        operation_mode => "output",
        output_async_reset => "none",
        output_power_up => "low",
        output_register_mode => "none",
        output_sync_reset => "none")
-- pragma translate_on
PORT MAP (
        datain => VCC,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        devoe => ww_devoe,
        oe => VCC,
        padio => ww_S_SEVEN_SEGMENT_2_OUT(6));

\S_SEVEN_SEGMENT_3_OUT[0]~I\ : cycloneii_io
-- pragma translate_off
GENERIC MAP (
        input_async_reset => "none",
        input_power_up => "low",
        input_register_mode => "none",
        input_sync_reset => "none",
        oe_async_reset => "none",
        oe_power_up => "low",
        oe_register_mode => "none",
        oe_sync_reset => "none",
        operation_mode => "output",
        output_async_reset => "none",
        output_power_up => "low",
        output_register_mode => "none",
        output_sync_reset => "none")
-- pragma translate_on
PORT MAP (
        datain => GND,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        devoe => ww_devoe,
        oe => VCC,
        padio => ww_S_SEVEN_SEGMENT_3_OUT(0));

\S_SEVEN_SEGMENT_3_OUT[1]~I\ : cycloneii_io
-- pragma translate_off
GENERIC MAP (
        input_async_reset => "none",
        input_power_up => "low",
        input_register_mode => "none",
        input_sync_reset => "none",
        oe_async_reset => "none",
        oe_power_up => "low",
        oe_register_mode => "none",
        oe_sync_reset => "none",
        operation_mode => "output",
        output_async_reset => "none",
        output_power_up => "low",
        output_register_mode => "none",
        output_sync_reset => "none")
-- pragma translate_on
PORT MAP (
        datain => GND,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        devoe => ww_devoe,
        oe => VCC,
        padio => ww_S_SEVEN_SEGMENT_3_OUT(1));

\S_SEVEN_SEGMENT_3_OUT[2]~I\ : cycloneii_io
-- pragma translate_off
GENERIC MAP (
        input_async_reset => "none",
        input_power_up => "low",
        input_register_mode => "none",
        input_sync_reset => "none",
        oe_async_reset => "none",
        oe_power_up => "low",
        oe_register_mode => "none",
        oe_sync_reset => "none",
        operation_mode => "output",
        output_async_reset => "none",
        output_power_up => "low",
        output_register_mode => "none",
        output_sync_reset => "none")
-- pragma translate_on
PORT MAP (
        datain => GND,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        devoe => ww_devoe,
        oe => VCC,
        padio => ww_S_SEVEN_SEGMENT_3_OUT(2));

\S_SEVEN_SEGMENT_3_OUT[3]~I\ : cycloneii_io
-- pragma translate_off
GENERIC MAP (
        input_async_reset => "none",
        input_power_up => "low",
        input_register_mode => "none",
        input_sync_reset => "none",
        oe_async_reset => "none",
        oe_power_up => "low",
        oe_register_mode => "none",
        oe_sync_reset => "none",
        operation_mode => "output",
        output_async_reset => "none",
        output_power_up => "low",
        output_register_mode => "none",
        output_sync_reset => "none")
-- pragma translate_on
PORT MAP (
        datain => GND,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        devoe => ww_devoe,
        oe => VCC,
        padio => ww_S_SEVEN_SEGMENT_3_OUT(3));

\S_SEVEN_SEGMENT_3_OUT[4]~I\ : cycloneii_io
-- pragma translate_off
GENERIC MAP (
        input_async_reset => "none",
        input_power_up => "low",
        input_register_mode => "none",
        input_sync_reset => "none",
        oe_async_reset => "none",
        oe_power_up => "low",
        oe_register_mode => "none",
        oe_sync_reset => "none",
        operation_mode => "output",
        output_async_reset => "none",
        output_power_up => "low",
        output_register_mode => "none",
        output_sync_reset => "none")
-- pragma translate_on
PORT MAP (
        datain => GND,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        devoe => ww_devoe,
        oe => VCC,
        padio => ww_S_SEVEN_SEGMENT_3_OUT(4));

\S_SEVEN_SEGMENT_3_OUT[5]~I\ : cycloneii_io
-- pragma translate_off
GENERIC MAP (
        input_async_reset => "none",
        input_power_up => "low",
        input_register_mode => "none",
        input_sync_reset => "none",
        oe_async_reset => "none",
        oe_power_up => "low",
        oe_register_mode => "none",
        oe_sync_reset => "none",
        operation_mode => "output",
        output_async_reset => "none",
        output_power_up => "low",
        output_register_mode => "none",
        output_sync_reset => "none")
-- pragma translate_on
PORT MAP (
        datain => GND,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        devoe => ww_devoe,
        oe => VCC,
        padio => ww_S_SEVEN_SEGMENT_3_OUT(5));

\S_SEVEN_SEGMENT_3_OUT[6]~I\ : cycloneii_io
-- pragma translate_off
GENERIC MAP (
        input_async_reset => "none",
        input_power_up => "low",
        input_register_mode => "none",
        input_sync_reset => "none",
        oe_async_reset => "none",
        oe_power_up => "low",
        oe_register_mode => "none",
        oe_sync_reset => "none",
        operation_mode => "output",
        output_async_reset => "none",
        output_power_up => "low",
        output_register_mode => "none",
        output_sync_reset => "none")
-- pragma translate_on
PORT MAP (
        datain => VCC,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        devoe => ww_devoe,
        oe => VCC,
        padio => ww_S_SEVEN_SEGMENT_3_OUT(6));

\S_SEVEN_SEGMENT_4_OUT[0]~I\ : cycloneii_io
-- pragma translate_off
GENERIC MAP (
        input_async_reset => "none",
        input_power_up => "low",
        input_register_mode => "none",
        input_sync_reset => "none",
        oe_async_reset => "none",
        oe_power_up => "low",
        oe_register_mode => "none",
        oe_sync_reset => "none",
        operation_mode => "output",
        output_async_reset => "none",
        output_power_up => "low",
        output_register_mode => "none",
        output_sync_reset => "none")
-- pragma translate_on
PORT MAP (
        datain => GND,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        devoe => ww_devoe,
        oe => VCC,
        padio => ww_S_SEVEN_SEGMENT_4_OUT(0));

\S_SEVEN_SEGMENT_4_OUT[1]~I\ : cycloneii_io
-- pragma translate_off
GENERIC MAP (
        input_async_reset => "none",
        input_power_up => "low",
        input_register_mode => "none",
        input_sync_reset => "none",
        oe_async_reset => "none",
        oe_power_up => "low",
        oe_register_mode => "none",
        oe_sync_reset => "none",
        operation_mode => "output",
        output_async_reset => "none",
        output_power_up => "low",
        output_register_mode => "none",
        output_sync_reset => "none")
-- pragma translate_on
PORT MAP (
        datain => GND,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        devoe => ww_devoe,
        oe => VCC,
        padio => ww_S_SEVEN_SEGMENT_4_OUT(1));

\S_SEVEN_SEGMENT_4_OUT[2]~I\ : cycloneii_io
-- pragma translate_off
GENERIC MAP (
        input_async_reset => "none",
        input_power_up => "low",
        input_register_mode => "none",
        input_sync_reset => "none",
        oe_async_reset => "none",
        oe_power_up => "low",
        oe_register_mode => "none",
        oe_sync_reset => "none",
        operation_mode => "output",
        output_async_reset => "none",
        output_power_up => "low",
        output_register_mode => "none",
        output_sync_reset => "none")
-- pragma translate_on
PORT MAP (
        datain => GND,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        devoe => ww_devoe,
        oe => VCC,
        padio => ww_S_SEVEN_SEGMENT_4_OUT(2));

\S_SEVEN_SEGMENT_4_OUT[3]~I\ : cycloneii_io
-- pragma translate_off
GENERIC MAP (
        input_async_reset => "none",
        input_power_up => "low",
        input_register_mode => "none",
        input_sync_reset => "none",
        oe_async_reset => "none",
        oe_power_up => "low",
        oe_register_mode => "none",
        oe_sync_reset => "none",
        operation_mode => "output",
        output_async_reset => "none",
        output_power_up => "low",
        output_register_mode => "none",
        output_sync_reset => "none")
-- pragma translate_on
PORT MAP (
        datain => GND,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        devoe => ww_devoe,
        oe => VCC,
        padio => ww_S_SEVEN_SEGMENT_4_OUT(3));

\S_SEVEN_SEGMENT_4_OUT[4]~I\ : cycloneii_io
-- pragma translate_off
GENERIC MAP (
        input_async_reset => "none",
        input_power_up => "low",
        input_register_mode => "none",
        input_sync_reset => "none",
        oe_async_reset => "none",
        oe_power_up => "low",
        oe_register_mode => "none",
        oe_sync_reset => "none",
        operation_mode => "output",
        output_async_reset => "none",
        output_power_up => "low",
        output_register_mode => "none",
        output_sync_reset => "none")
-- pragma translate_on
PORT MAP (
        datain => GND,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        devoe => ww_devoe,
        oe => VCC,
        padio => ww_S_SEVEN_SEGMENT_4_OUT(4));

\S_SEVEN_SEGMENT_4_OUT[5]~I\ : cycloneii_io
-- pragma translate_off
GENERIC MAP (
        input_async_reset => "none",
        input_power_up => "low",
        input_register_mode => "none",
        input_sync_reset => "none",
        oe_async_reset => "none",
        oe_power_up => "low",
        oe_register_mode => "none",
        oe_sync_reset => "none",
        operation_mode => "output",
        output_async_reset => "none",
        output_power_up => "low",
        output_register_mode => "none",
        output_sync_reset => "none")
-- pragma translate_on
PORT MAP (
        datain => GND,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        devoe => ww_devoe,
        oe => VCC,
        padio => ww_S_SEVEN_SEGMENT_4_OUT(5));

\S_SEVEN_SEGMENT_4_OUT[6]~I\ : cycloneii_io
-- pragma translate_off
GENERIC MAP (
        input_async_reset => "none",
        input_power_up => "low",
        input_register_mode => "none",
        input_sync_reset => "none",
        oe_async_reset => "none",
        oe_power_up => "low",
        oe_register_mode => "none",
        oe_sync_reset => "none",
        operation_mode => "output",
        output_async_reset => "none",
        output_power_up => "low",
        output_register_mode => "none",
        output_sync_reset => "none")
-- pragma translate_on
PORT MAP (
        datain => VCC,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        devoe => ww_devoe,
        oe => VCC,
        padio => ww_S_SEVEN_SEGMENT_4_OUT(6));

\S_RED_LEDS_OUT[0]~I\ : cycloneii_io
-- pragma translate_off
GENERIC MAP (
        input_async_reset => "none",
        input_power_up => "low",
        input_register_mode => "none",
        input_sync_reset => "none",
        oe_async_reset => "none",
        oe_power_up => "low",
        oe_register_mode => "none",
        oe_sync_reset => "none",
        operation_mode => "output",
        output_async_reset => "none",
        output_power_up => "low",
        output_register_mode => "none",
        output_sync_reset => "none")
-- pragma translate_on
PORT MAP (
        datain => \u1|ALT_INV_Mux0~4_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        devoe => ww_devoe,
        oe => VCC,
        padio => ww_S_RED_LEDS_OUT(0));

\S_RED_LEDS_OUT[1]~I\ : cycloneii_io
-- pragma translate_off
GENERIC MAP (
        input_async_reset => "none",
        input_power_up => "low",
        input_register_mode => "none",
        input_sync_reset => "none",
        oe_async_reset => "none",
        oe_power_up => "low",
        oe_register_mode => "none",
        oe_sync_reset => "none",
        operation_mode => "output",
        output_async_reset => "none",
        output_power_up => "low",
        output_register_mode => "none",
        output_sync_reset => "none")
-- pragma translate_on
PORT MAP (
        datain => \u1|ALT_INV_Mux0~5_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        devoe => ww_devoe,
        oe => VCC,
        padio => ww_S_RED_LEDS_OUT(1));

\S_RED_LEDS_OUT[2]~I\ : cycloneii_io
-- pragma translate_off
GENERIC MAP (
        input_async_reset => "none",
        input_power_up => "low",
        input_register_mode => "none",
        input_sync_reset => "none",
        oe_async_reset => "none",
        oe_power_up => "low",
        oe_register_mode => "none",
        oe_sync_reset => "none",
        operation_mode => "output",
        output_async_reset => "none",
        output_power_up => "low",
        output_register_mode => "none",
        output_sync_reset => "none")
-- pragma translate_on
PORT MAP (
        datain => \u1|Mux2~5_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        devoe => ww_devoe,
        oe => VCC,
        padio => ww_S_RED_LEDS_OUT(2));

\S_RED_LEDS_OUT[3]~I\ : cycloneii_io
-- pragma translate_off
GENERIC MAP (
        input_async_reset => "none",
        input_power_up => "low",
        input_register_mode => "none",
        input_sync_reset => "none",
        oe_async_reset => "none",
        oe_power_up => "low",
        oe_register_mode => "none",
        oe_sync_reset => "none",
        operation_mode => "output",
        output_async_reset => "none",
        output_power_up => "low",
        output_register_mode => "none",
        output_sync_reset => "none")
-- pragma translate_on
PORT MAP (
        datain => \u1|ALT_INV_Mux3~1_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        devoe => ww_devoe,
        oe => VCC,
        padio => ww_S_RED_LEDS_OUT(3));

\S_RED_LEDS_OUT[4]~I\ : cycloneii_io
-- pragma translate_off
GENERIC MAP (
        input_async_reset => "none",
        input_power_up => "low",
        input_register_mode => "none",
        input_sync_reset => "none",
        oe_async_reset => "none",
        oe_power_up => "low",
        oe_register_mode => "none",
        oe_sync_reset => "none",
        operation_mode => "output",
        output_async_reset => "none",
        output_power_up => "low",
        output_register_mode => "none",
        output_sync_reset => "none")
-- pragma translate_on
PORT MAP (
        datain => \u1|Mux4~5_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        devoe => ww_devoe,
        oe => VCC,
        padio => ww_S_RED_LEDS_OUT(4));

\S_RED_LEDS_OUT[5]~I\ : cycloneii_io
-- pragma translate_off
GENERIC MAP (
        input_async_reset => "none",
        input_power_up => "low",
        input_register_mode => "none",
        input_sync_reset => "none",
        oe_async_reset => "none",
        oe_power_up => "low",
        oe_register_mode => "none",
        oe_sync_reset => "none",
        operation_mode => "output",
        output_async_reset => "none",
        output_power_up => "low",
        output_register_mode => "none",
        output_sync_reset => "none")
-- pragma translate_on
PORT MAP (
        datain => \u1|Mux5~2_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        devoe => ww_devoe,
        oe => VCC,
        padio => ww_S_RED_LEDS_OUT(5));

\S_RED_LEDS_OUT[6]~I\ : cycloneii_io
-- pragma translate_off
GENERIC MAP (
        input_async_reset => "none",
        input_power_up => "low",
        input_register_mode => "none",
        input_sync_reset => "none",
        oe_async_reset => "none",
        oe_power_up => "low",
        oe_register_mode => "none",
        oe_sync_reset => "none",
        operation_mode => "output",
        output_async_reset => "none",
        output_power_up => "low",
        output_register_mode => "none",
        output_sync_reset => "none")
-- pragma translate_on
PORT MAP (
        datain => \u1|Mux6~5_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        devoe => ww_devoe,
        oe => VCC,
        padio => ww_S_RED_LEDS_OUT(6));

\S_RED_LEDS_OUT[7]~I\ : cycloneii_io
-- pragma translate_off
GENERIC MAP (
        input_async_reset => "none",
        input_power_up => "low",
        input_register_mode => "none",
        input_sync_reset => "none",
        oe_async_reset => "none",
        oe_power_up => "low",
        oe_register_mode => "none",
        oe_sync_reset => "none",
        operation_mode => "output",
        output_async_reset => "none",
        output_power_up => "low",
        output_register_mode => "none",
        output_sync_reset => "none")
-- pragma translate_on
PORT MAP (
        datain => Red_LEDs_Bar(3),
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        devoe => ww_devoe,
        oe => VCC,
        padio => ww_S_RED_LEDS_OUT(7));

\S_RED_LEDS_OUT[8]~I\ : cycloneii_io
-- pragma translate_off
GENERIC MAP (
        input_async_reset => "none",
        input_power_up => "low",
        input_register_mode => "none",
        input_sync_reset => "none",
        oe_async_reset => "none",
        oe_power_up => "low",
        oe_register_mode => "none",
        oe_sync_reset => "none",
        operation_mode => "output",
        output_async_reset => "none",
        output_power_up => "low",
        output_register_mode => "none",
        output_sync_reset => "none")
-- pragma translate_on
PORT MAP (
        datain => \u1|Mux7~1_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        devoe => ww_devoe,
        oe => VCC,
        padio => ww_S_RED_LEDS_OUT(8));

\S_RED_LEDS_OUT[9]~I\ : cycloneii_io
-- pragma translate_off
GENERIC MAP (
        input_async_reset => "none",
        input_power_up => "low",
        input_register_mode => "none",
        input_sync_reset => "none",
        oe_async_reset => "none",
        oe_power_up => "low",
        oe_register_mode => "none",
        oe_sync_reset => "none",
        operation_mode => "output",
        output_async_reset => "none",
        output_power_up => "low",
        output_register_mode => "none",
        output_sync_reset => "none")
-- pragma translate_on
PORT MAP (
        datain => \u1|Mux8~0_combout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        devoe => ww_devoe,
        oe => VCC,
        padio => ww_S_RED_LEDS_OUT(9));

\I2C_CLOCK_OUT~I\ : cycloneii_io
-- pragma translate_off
GENERIC MAP (
        input_async_reset => "none",
        input_power_up => "low",
        input_register_mode => "none",
        input_sync_reset => "none",
        oe_async_reset => "none",
        oe_power_up => "low",
        oe_register_mode => "none",
        oe_sync_reset => "none",
        operation_mode => "output",
        output_async_reset => "none",
        output_power_up => "low",
        output_register_mode => "none",
        output_sync_reset => "none")
-- pragma translate_on
PORT MAP (
        datain => \u2|I2C_CLOCK~regout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        devoe => ww_devoe,
        oe => VCC,
        padio => ww_I2C_CLOCK_OUT);

\I2S_LEFT_RIGHT_CLOCK_OUT~I\ : cycloneii_io
-- pragma translate_off
GENERIC MAP (
        input_async_reset => "none",
        input_power_up => "low",
        input_register_mode => "none",
        input_sync_reset => "none",
        oe_async_reset => "none",
        oe_power_up => "low",
        oe_register_mode => "none",
        oe_sync_reset => "none",
        operation_mode => "output",
        output_async_reset => "none",
        output_power_up => "low",
        output_register_mode => "none",
        output_sync_reset => "none")
-- pragma translate_on
PORT MAP (
        datain => \u3|I2S_LEFT_RIGHT_CLOCK_OUT~regout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        devoe => ww_devoe,
        oe => VCC,
        padio => ww_I2S_LEFT_RIGHT_CLOCK_OUT);

\I2S_CLOCK_OUT~I\ : cycloneii_io
-- pragma translate_off
GENERIC MAP (
        input_async_reset => "none",
        input_power_up => "low",
        input_register_mode => "none",
        input_sync_reset => "none",
        oe_async_reset => "none",
        oe_power_up => "low",
        oe_register_mode => "none",
        oe_sync_reset => "none",
        operation_mode => "output",
        output_async_reset => "none",
        output_power_up => "low",
        output_register_mode => "none",
        output_sync_reset => "none")
-- pragma translate_on
PORT MAP (
        datain => \u3|I2S_Clock~regout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        devoe => ww_devoe,
        oe => VCC,
        padio => ww_I2S_CLOCK_OUT);

\I2S_CORE_CLOCK_OUT~I\ : cycloneii_io
-- pragma translate_off
GENERIC MAP (
        input_async_reset => "none",
        input_power_up => "low",
        input_register_mode => "none",
        input_sync_reset => "none",
        oe_async_reset => "none",
        oe_power_up => "low",
        oe_register_mode => "none",
        oe_sync_reset => "none",
        operation_mode => "output",
        output_async_reset => "none",
        output_power_up => "low",
        output_register_mode => "none",
        output_sync_reset => "none")
-- pragma translate_on
PORT MAP (
        datain => \I2S_CORE_CLOCK~regout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        devoe => ww_devoe,
        oe => VCC,
        padio => ww_I2S_CORE_CLOCK_OUT);

\SWITCH_0~I\ : cycloneii_io
-- pragma translate_off
GENERIC MAP (
        input_async_reset => "none",
        input_power_up => "low",
        input_register_mode => "none",
        input_sync_reset => "none",
        oe_async_reset => "none",
        oe_power_up => "low",
        oe_register_mode => "none",
        oe_sync_reset => "none",
        operation_mode => "input",
        output_async_reset => "none",
        output_power_up => "low",
        output_register_mode => "none",
        output_sync_reset => "none")
-- pragma translate_on
PORT MAP (
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        devoe => ww_devoe,
        oe => GND,
        padio => ww_SWITCH_0);

\FLASH_MEMORY_ADDRESS_OUT[0]~I\ : cycloneii_io
-- pragma translate_off
GENERIC MAP (
        input_async_reset => "none",
        input_power_up => "low",
        input_register_mode => "none",
        input_sync_reset => "none",
        oe_async_reset => "none",
        oe_power_up => "low",
        oe_register_mode => "none",
        oe_sync_reset => "none",
        operation_mode => "output",
        output_async_reset => "none",
        output_power_up => "low",
        output_register_mode => "none",
        output_sync_reset => "none")
-- pragma translate_on
PORT MAP (
        datain => \u4|FLASH_MEMORY_ADDRESS\(0),
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        devoe => ww_devoe,
        oe => VCC,
        padio => ww_FLASH_MEMORY_ADDRESS_OUT(0));

\FLASH_MEMORY_ADDRESS_OUT[1]~I\ : cycloneii_io
-- pragma translate_off
GENERIC MAP (
        input_async_reset => "none",
        input_power_up => "low",
        input_register_mode => "none",
        input_sync_reset => "none",
        oe_async_reset => "none",
        oe_power_up => "low",
        oe_register_mode => "none",
        oe_sync_reset => "none",
        operation_mode => "output",
        output_async_reset => "none",
        output_power_up => "low",
        output_register_mode => "none",
        output_sync_reset => "none")
-- pragma translate_on
PORT MAP (
        datain => \u4|FLASH_MEMORY_ADDRESS\(1),
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        devoe => ww_devoe,
        oe => VCC,
        padio => ww_FLASH_MEMORY_ADDRESS_OUT(1));

\FLASH_MEMORY_ADDRESS_OUT[2]~I\ : cycloneii_io
-- pragma translate_off
GENERIC MAP (
        input_async_reset => "none",
        input_power_up => "low",
        input_register_mode => "none",
        input_sync_reset => "none",
        oe_async_reset => "none",
        oe_power_up => "low",
        oe_register_mode => "none",
        oe_sync_reset => "none",
        operation_mode => "output",
        output_async_reset => "none",
        output_power_up => "low",
        output_register_mode => "none",
        output_sync_reset => "none")
-- pragma translate_on
PORT MAP (
        datain => \u4|FLASH_MEMORY_ADDRESS\(2),
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        devoe => ww_devoe,
        oe => VCC,
        padio => ww_FLASH_MEMORY_ADDRESS_OUT(2));

\FLASH_MEMORY_ADDRESS_OUT[3]~I\ : cycloneii_io
-- pragma translate_off
GENERIC MAP (
        input_async_reset => "none",
        input_power_up => "low",
        input_register_mode => "none",
        input_sync_reset => "none",
        oe_async_reset => "none",
        oe_power_up => "low",
        oe_register_mode => "none",
        oe_sync_reset => "none",
        operation_mode => "output",
        output_async_reset => "none",
        output_power_up => "low",
        output_register_mode => "none",
        output_sync_reset => "none")
-- pragma translate_on
PORT MAP (
        datain => \u4|FLASH_MEMORY_ADDRESS\(3),
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        devoe => ww_devoe,
        oe => VCC,
        padio => ww_FLASH_MEMORY_ADDRESS_OUT(3));

\FLASH_MEMORY_ADDRESS_OUT[4]~I\ : cycloneii_io
-- pragma translate_off
GENERIC MAP (
        input_async_reset => "none",
        input_power_up => "low",
        input_register_mode => "none",
        input_sync_reset => "none",
        oe_async_reset => "none",
        oe_power_up => "low",
        oe_register_mode => "none",
        oe_sync_reset => "none",
        operation_mode => "output",
        output_async_reset => "none",
        output_power_up => "low",
        output_register_mode => "none",
        output_sync_reset => "none")
-- pragma translate_on
PORT MAP (
        datain => \u4|FLASH_MEMORY_ADDRESS\(4),
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        devoe => ww_devoe,
        oe => VCC,
        padio => ww_FLASH_MEMORY_ADDRESS_OUT(4));

\FLASH_MEMORY_ADDRESS_OUT[5]~I\ : cycloneii_io
-- pragma translate_off
GENERIC MAP (
        input_async_reset => "none",
        input_power_up => "low",
        input_register_mode => "none",
        input_sync_reset => "none",
        oe_async_reset => "none",
        oe_power_up => "low",
        oe_register_mode => "none",
        oe_sync_reset => "none",
        operation_mode => "output",
        output_async_reset => "none",
        output_power_up => "low",
        output_register_mode => "none",
        output_sync_reset => "none")
-- pragma translate_on
PORT MAP (
        datain => \u4|FLASH_MEMORY_ADDRESS\(5),
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        devoe => ww_devoe,
        oe => VCC,
        padio => ww_FLASH_MEMORY_ADDRESS_OUT(5));

\FLASH_MEMORY_ADDRESS_OUT[6]~I\ : cycloneii_io
-- pragma translate_off
GENERIC MAP (
        input_async_reset => "none",
        input_power_up => "low",
        input_register_mode => "none",
        input_sync_reset => "none",
        oe_async_reset => "none",
        oe_power_up => "low",
        oe_register_mode => "none",
        oe_sync_reset => "none",
        operation_mode => "output",
        output_async_reset => "none",
        output_power_up => "low",
        output_register_mode => "none",
        output_sync_reset => "none")
-- pragma translate_on
PORT MAP (
        datain => \u4|FLASH_MEMORY_ADDRESS\(6),
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        devoe => ww_devoe,
        oe => VCC,
        padio => ww_FLASH_MEMORY_ADDRESS_OUT(6));

\FLASH_MEMORY_ADDRESS_OUT[7]~I\ : cycloneii_io
-- pragma translate_off
GENERIC MAP (
        input_async_reset => "none",
        input_power_up => "low",
        input_register_mode => "none",
        input_sync_reset => "none",
        oe_async_reset => "none",
        oe_power_up => "low",
        oe_register_mode => "none",
        oe_sync_reset => "none",
        operation_mode => "output",
        output_async_reset => "none",
        output_power_up => "low",
        output_register_mode => "none",
        output_sync_reset => "none")
-- pragma translate_on
PORT MAP (
        datain => \u4|FLASH_MEMORY_ADDRESS\(7),
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        devoe => ww_devoe,
        oe => VCC,
        padio => ww_FLASH_MEMORY_ADDRESS_OUT(7));

\FLASH_MEMORY_ADDRESS_OUT[8]~I\ : cycloneii_io
-- pragma translate_off
GENERIC MAP (
        input_async_reset => "none",
        input_power_up => "low",
        input_register_mode => "none",
        input_sync_reset => "none",
        oe_async_reset => "none",
        oe_power_up => "low",
        oe_register_mode => "none",
        oe_sync_reset => "none",
        operation_mode => "output",
        output_async_reset => "none",
        output_power_up => "low",
        output_register_mode => "none",
        output_sync_reset => "none")
-- pragma translate_on
PORT MAP (
        datain => \u4|FLASH_MEMORY_ADDRESS\(8),
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        devoe => ww_devoe,
        oe => VCC,
        padio => ww_FLASH_MEMORY_ADDRESS_OUT(8));

\FLASH_MEMORY_ADDRESS_OUT[9]~I\ : cycloneii_io
-- pragma translate_off
GENERIC MAP (
        input_async_reset => "none",
        input_power_up => "low",
        input_register_mode => "none",
        input_sync_reset => "none",
        oe_async_reset => "none",
        oe_power_up => "low",
        oe_register_mode => "none",
        oe_sync_reset => "none",
        operation_mode => "output",
        output_async_reset => "none",
        output_power_up => "low",
        output_register_mode => "none",
        output_sync_reset => "none")
-- pragma translate_on
PORT MAP (
        datain => \u4|FLASH_MEMORY_ADDRESS\(9),
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        devoe => ww_devoe,
        oe => VCC,
        padio => ww_FLASH_MEMORY_ADDRESS_OUT(9));

\FLASH_MEMORY_ADDRESS_OUT[10]~I\ : cycloneii_io
-- pragma translate_off
GENERIC MAP (
        input_async_reset => "none",
        input_power_up => "low",
        input_register_mode => "none",
        input_sync_reset => "none",
        oe_async_reset => "none",
        oe_power_up => "low",
        oe_register_mode => "none",
        oe_sync_reset => "none",
        operation_mode => "output",
        output_async_reset => "none",
        output_power_up => "low",
        output_register_mode => "none",
        output_sync_reset => "none")
-- pragma translate_on
PORT MAP (
        datain => \u4|FLASH_MEMORY_ADDRESS\(10),
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        devoe => ww_devoe,
        oe => VCC,
        padio => ww_FLASH_MEMORY_ADDRESS_OUT(10));

\FLASH_MEMORY_ADDRESS_OUT[11]~I\ : cycloneii_io
-- pragma translate_off
GENERIC MAP (
        input_async_reset => "none",
        input_power_up => "low",
        input_register_mode => "none",
        input_sync_reset => "none",
        oe_async_reset => "none",
        oe_power_up => "low",
        oe_register_mode => "none",
        oe_sync_reset => "none",
        operation_mode => "output",
        output_async_reset => "none",
        output_power_up => "low",
        output_register_mode => "none",
        output_sync_reset => "none")
-- pragma translate_on
PORT MAP (
        datain => \u4|FLASH_MEMORY_ADDRESS\(11),
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        devoe => ww_devoe,
        oe => VCC,
        padio => ww_FLASH_MEMORY_ADDRESS_OUT(11));

\FLASH_MEMORY_ADDRESS_OUT[12]~I\ : cycloneii_io
-- pragma translate_off
GENERIC MAP (
        input_async_reset => "none",
        input_power_up => "low",
        input_register_mode => "none",
        input_sync_reset => "none",
        oe_async_reset => "none",
        oe_power_up => "low",
        oe_register_mode => "none",
        oe_sync_reset => "none",
        operation_mode => "output",
        output_async_reset => "none",
        output_power_up => "low",
        output_register_mode => "none",
        output_sync_reset => "none")
-- pragma translate_on
PORT MAP (
        datain => \u4|FLASH_MEMORY_ADDRESS\(12),
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        devoe => ww_devoe,
        oe => VCC,
        padio => ww_FLASH_MEMORY_ADDRESS_OUT(12));

\FLASH_MEMORY_ADDRESS_OUT[13]~I\ : cycloneii_io
-- pragma translate_off
GENERIC MAP (
        input_async_reset => "none",
        input_power_up => "low",
        input_register_mode => "none",
        input_sync_reset => "none",
        oe_async_reset => "none",
        oe_power_up => "low",
        oe_register_mode => "none",
        oe_sync_reset => "none",
        operation_mode => "output",
        output_async_reset => "none",
        output_power_up => "low",
        output_register_mode => "none",
        output_sync_reset => "none")
-- pragma translate_on
PORT MAP (
        datain => \u4|FLASH_MEMORY_ADDRESS\(13),
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        devoe => ww_devoe,
        oe => VCC,
        padio => ww_FLASH_MEMORY_ADDRESS_OUT(13));

\FLASH_MEMORY_ADDRESS_OUT[14]~I\ : cycloneii_io
-- pragma translate_off
GENERIC MAP (
        input_async_reset => "none",
        input_power_up => "low",
        input_register_mode => "none",
        input_sync_reset => "none",
        oe_async_reset => "none",
        oe_power_up => "low",
        oe_register_mode => "none",
        oe_sync_reset => "none",
        operation_mode => "output",
        output_async_reset => "none",
        output_power_up => "low",
        output_register_mode => "none",
        output_sync_reset => "none")
-- pragma translate_on
PORT MAP (
        datain => \u4|FLASH_MEMORY_ADDRESS\(14),
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        devoe => ww_devoe,
        oe => VCC,
        padio => ww_FLASH_MEMORY_ADDRESS_OUT(14));

\FLASH_MEMORY_ADDRESS_OUT[15]~I\ : cycloneii_io
-- pragma translate_off
GENERIC MAP (
        input_async_reset => "none",
        input_power_up => "low",
        input_register_mode => "none",
        input_sync_reset => "none",
        oe_async_reset => "none",
        oe_power_up => "low",
        oe_register_mode => "none",
        oe_sync_reset => "none",
        operation_mode => "output",
        output_async_reset => "none",
        output_power_up => "low",
        output_register_mode => "none",
        output_sync_reset => "none")
-- pragma translate_on
PORT MAP (
        datain => \u4|FLASH_MEMORY_ADDRESS\(15),
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        devoe => ww_devoe,
        oe => VCC,
        padio => ww_FLASH_MEMORY_ADDRESS_OUT(15));

\FLASH_MEMORY_ADDRESS_OUT[16]~I\ : cycloneii_io
-- pragma translate_off
GENERIC MAP (
        input_async_reset => "none",
        input_power_up => "low",
        input_register_mode => "none",
        input_sync_reset => "none",
        oe_async_reset => "none",
        oe_power_up => "low",
        oe_register_mode => "none",
        oe_sync_reset => "none",
        operation_mode => "output",
        output_async_reset => "none",
        output_power_up => "low",
        output_register_mode => "none",
        output_sync_reset => "none")
-- pragma translate_on
PORT MAP (
        datain => \u4|FLASH_MEMORY_ADDRESS\(16),
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        devoe => ww_devoe,
        oe => VCC,
        padio => ww_FLASH_MEMORY_ADDRESS_OUT(16));

\FLASH_MEMORY_ADDRESS_OUT[17]~I\ : cycloneii_io
-- pragma translate_off
GENERIC MAP (
        input_async_reset => "none",
        input_power_up => "low",
        input_register_mode => "none",
        input_sync_reset => "none",
        oe_async_reset => "none",
        oe_power_up => "low",
        oe_register_mode => "none",
        oe_sync_reset => "none",
        operation_mode => "output",
        output_async_reset => "none",
        output_power_up => "low",
        output_register_mode => "none",
        output_sync_reset => "none")
-- pragma translate_on
PORT MAP (
        datain => \u4|FLASH_MEMORY_ADDRESS\(17),
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        devoe => ww_devoe,
        oe => VCC,
        padio => ww_FLASH_MEMORY_ADDRESS_OUT(17));

\FLASH_MEMORY_ADDRESS_OUT[18]~I\ : cycloneii_io
-- pragma translate_off
GENERIC MAP (
        input_async_reset => "none",
        input_power_up => "low",
        input_register_mode => "none",
        input_sync_reset => "none",
        oe_async_reset => "none",
        oe_power_up => "low",
        oe_register_mode => "none",
        oe_sync_reset => "none",
        operation_mode => "output",
        output_async_reset => "none",
        output_power_up => "low",
        output_register_mode => "none",
        output_sync_reset => "none")
-- pragma translate_on
PORT MAP (
        datain => \u4|FLASH_MEMORY_ADDRESS\(18),
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        devoe => ww_devoe,
        oe => VCC,
        padio => ww_FLASH_MEMORY_ADDRESS_OUT(18));

\FLASH_MEMORY_ADDRESS_OUT[19]~I\ : cycloneii_io
-- pragma translate_off
GENERIC MAP (
        input_async_reset => "none",
        input_power_up => "low",
        input_register_mode => "none",
        input_sync_reset => "none",
        oe_async_reset => "none",
        oe_power_up => "low",
        oe_register_mode => "none",
        oe_sync_reset => "none",
        operation_mode => "output",
        output_async_reset => "none",
        output_power_up => "low",
        output_register_mode => "none",
        output_sync_reset => "none")
-- pragma translate_on
PORT MAP (
        datain => \u4|FLASH_MEMORY_ADDRESS\(19),
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        devoe => ww_devoe,
        oe => VCC,
        padio => ww_FLASH_MEMORY_ADDRESS_OUT(19));

\FLASH_MEMORY_ADDRESS_OUT[20]~I\ : cycloneii_io
-- pragma translate_off
GENERIC MAP (
        input_async_reset => "none",
        input_power_up => "low",
        input_register_mode => "none",
        input_sync_reset => "none",
        oe_async_reset => "none",
        oe_power_up => "low",
        oe_register_mode => "none",
        oe_sync_reset => "none",
        operation_mode => "output",
        output_async_reset => "none",
        output_power_up => "low",
        output_register_mode => "none",
        output_sync_reset => "none")
-- pragma translate_on
PORT MAP (
        datain => \u4|FLASH_MEMORY_ADDRESS\(20),
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        devoe => ww_devoe,
        oe => VCC,
        padio => ww_FLASH_MEMORY_ADDRESS_OUT(20));

\FLASH_MEMORY_ADDRESS_OUT[21]~I\ : cycloneii_io
-- pragma translate_off
GENERIC MAP (
        input_async_reset => "none",
        input_power_up => "low",
        input_register_mode => "none",
        input_sync_reset => "none",
        oe_async_reset => "none",
        oe_power_up => "low",
        oe_register_mode => "none",
        oe_sync_reset => "none",
        operation_mode => "output",
        output_async_reset => "none",
        output_power_up => "low",
        output_register_mode => "none",
        output_sync_reset => "none")
-- pragma translate_on
PORT MAP (
        datain => \u4|FLASH_MEMORY_ADDRESS\(21),
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        devoe => ww_devoe,
        oe => VCC,
        padio => ww_FLASH_MEMORY_ADDRESS_OUT(21));

\FLASH_MEMORY_nWE_OUT~I\ : cycloneii_io
-- pragma translate_off
GENERIC MAP (
        input_async_reset => "none",
        input_power_up => "low",
        input_register_mode => "none",
        input_sync_reset => "none",
        oe_async_reset => "none",
        oe_power_up => "low",
        oe_register_mode => "none",
        oe_sync_reset => "none",
        operation_mode => "output",
        output_async_reset => "none",
        output_power_up => "low",
        output_register_mode => "none",
        output_sync_reset => "none")
-- pragma translate_on
PORT MAP (
        datain => VCC,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        devoe => ww_devoe,
        oe => VCC,
        padio => ww_FLASH_MEMORY_nWE_OUT);

\FLASH_MEMORY_nOE_OUT~I\ : cycloneii_io
-- pragma translate_off
GENERIC MAP (
        input_async_reset => "none",
        input_power_up => "low",
        input_register_mode => "none",
        input_sync_reset => "none",
        oe_async_reset => "none",
        oe_power_up => "low",
        oe_register_mode => "none",
        oe_sync_reset => "none",
        operation_mode => "output",
        output_async_reset => "none",
        output_power_up => "low",
        output_register_mode => "none",
        output_sync_reset => "none")
-- pragma translate_on
PORT MAP (
        datain => \u4|FLASH_MEMORY_nOE~regout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        devoe => ww_devoe,
        oe => VCC,
        padio => ww_FLASH_MEMORY_nOE_OUT);

\FLASH_MEMORY_nRESET_OUT~I\ : cycloneii_io
-- pragma translate_off
GENERIC MAP (
        input_async_reset => "none",
        input_power_up => "low",
        input_register_mode => "none",
        input_sync_reset => "none",
        oe_async_reset => "none",
        oe_power_up => "low",
        oe_register_mode => "none",
        oe_sync_reset => "none",
        operation_mode => "output",
        output_async_reset => "none",
        output_power_up => "low",
        output_register_mode => "none",
        output_sync_reset => "none")
-- pragma translate_on
PORT MAP (
        datain => VCC,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        devoe => ww_devoe,
        oe => VCC,
        padio => ww_FLASH_MEMORY_nRESET_OUT);

\FLASH_MEMORY_nCE_OUT~I\ : cycloneii_io
-- pragma translate_off
GENERIC MAP (
        input_async_reset => "none",
        input_power_up => "low",
        input_register_mode => "none",
        input_sync_reset => "none",
        oe_async_reset => "none",
        oe_power_up => "low",
        oe_register_mode => "none",
        oe_sync_reset => "none",
        operation_mode => "output",
        output_async_reset => "none",
        output_power_up => "low",
        output_register_mode => "none",
        output_sync_reset => "none")
-- pragma translate_on
PORT MAP (
        datain => \u4|FLASH_MEMORY_nCE~regout\,
        devclrn => ww_devclrn,
        devpor => ww_devpor,
        devoe => ww_devoe,
        oe => VCC,
        padio => ww_FLASH_MEMORY_nCE_OUT);
END structure;


Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.