OpenCores
URL https://opencores.org/ocsvn/core1990_interlaken/core1990_interlaken/trunk

Subversion Repositories core1990_interlaken

[/] [core1990_interlaken/] [trunk/] [gateware/] [simulation/] [testbench_interlaken_interface_behav.wcfg] - Rev 6

Compare with Previous | Blame | View Log

<?xml version="1.0" encoding="UTF-8"?>
<wave_config>
   <wave_state>
   </wave_state>
   <db_ref_list>
      <db_ref path="testbench_Interface_Test_behav.wdb" id="1">
         <top_modules>
            <top_module name="glbl" />
            <top_module name="testbench_Interface_Test" />
         </top_modules>
      </db_ref>
   </db_ref_list>
   <zoom_setting>
      <ZoomStartTime time="40729583333fs"></ZoomStartTime>
      <ZoomEndTime time="40877083334fs"></ZoomEndTime>
      <Cursor1Time time="40940883000fs"></Cursor1Time>
   </zoom_setting>
   <column_width_setting>
      <NameColumnWidth column_width="237"></NameColumnWidth>
      <ValueColumnWidth column_width="149"></ValueColumnWidth>
   </column_width_setting>
   <WVObjectSize size="12" />
   <wvobject type="group" fp_name="group83">
      <obj_property name="label">Interface</obj_property>
      <obj_property name="DisplayName">label</obj_property>
      <obj_property name="isExpanded"></obj_property>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/System_Clock_In_P">
         <obj_property name="ElementShortName">System_Clock_In_P</obj_property>
         <obj_property name="ObjectShortName">System_Clock_In_P</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/System_Clock_In_N">
         <obj_property name="ElementShortName">System_Clock_In_N</obj_property>
         <obj_property name="ObjectShortName">System_Clock_In_N</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/GTREFCLK_IN_P">
         <obj_property name="ElementShortName">GTREFCLK_IN_P</obj_property>
         <obj_property name="ObjectShortName">GTREFCLK_IN_P</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/GTREFCLK_IN_N">
         <obj_property name="ElementShortName">GTREFCLK_IN_N</obj_property>
         <obj_property name="ObjectShortName">GTREFCLK_IN_N</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/System_Clock_Gen">
         <obj_property name="ElementShortName">System_Clock_Gen</obj_property>
         <obj_property name="ObjectShortName">System_Clock_Gen</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/TX_Data">
         <obj_property name="ElementShortName">TX_Data[63:0]</obj_property>
         <obj_property name="ObjectShortName">TX_Data[63:0]</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/RX_Data">
         <obj_property name="ElementShortName">RX_Data[63:0]</obj_property>
         <obj_property name="ObjectShortName">RX_Data[63:0]</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/TX_Out_P">
         <obj_property name="ElementShortName">TX_Out_P</obj_property>
         <obj_property name="ObjectShortName">TX_Out_P</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/TX_Out_N">
         <obj_property name="ElementShortName">TX_Out_N</obj_property>
         <obj_property name="ObjectShortName">TX_Out_N</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/RX_In_P">
         <obj_property name="ElementShortName">RX_In_P</obj_property>
         <obj_property name="ObjectShortName">RX_In_P</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/RX_In_N">
         <obj_property name="ElementShortName">RX_In_N</obj_property>
         <obj_property name="ObjectShortName">RX_In_N</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/TX_SOP">
         <obj_property name="ElementShortName">TX_SOP</obj_property>
         <obj_property name="ObjectShortName">TX_SOP</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/TX_EOP">
         <obj_property name="ElementShortName">TX_EOP</obj_property>
         <obj_property name="ObjectShortName">TX_EOP</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/TX_EOP_Valid">
         <obj_property name="ElementShortName">TX_EOP_Valid[2:0]</obj_property>
         <obj_property name="ObjectShortName">TX_EOP_Valid[2:0]</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/TX_FlowControl">
         <obj_property name="ElementShortName">TX_FlowControl[15:0]</obj_property>
         <obj_property name="ObjectShortName">TX_FlowControl[15:0]</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/TX_Channel">
         <obj_property name="ElementShortName">TX_Channel[7:0]</obj_property>
         <obj_property name="ObjectShortName">TX_Channel[7:0]</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/RX_SOP">
         <obj_property name="ElementShortName">RX_SOP</obj_property>
         <obj_property name="ObjectShortName">RX_SOP</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/RX_EOP">
         <obj_property name="ElementShortName">RX_EOP</obj_property>
         <obj_property name="ObjectShortName">RX_EOP</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/RX_EOP_Valid">
         <obj_property name="ElementShortName">RX_EOP_Valid[2:0]</obj_property>
         <obj_property name="ObjectShortName">RX_EOP_Valid[2:0]</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/RX_FlowControl">
         <obj_property name="ElementShortName">RX_FlowControl[15:0]</obj_property>
         <obj_property name="ObjectShortName">RX_FlowControl[15:0]</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/RX_Channel">
         <obj_property name="ElementShortName">RX_Channel[7:0]</obj_property>
         <obj_property name="ObjectShortName">RX_Channel[7:0]</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/TX_Link_Up">
         <obj_property name="ElementShortName">TX_Link_Up</obj_property>
         <obj_property name="ObjectShortName">TX_Link_Up</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/RX_Link_Up">
         <obj_property name="ElementShortName">RX_Link_Up</obj_property>
         <obj_property name="ObjectShortName">RX_Link_Up</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/TX_FIFO_Full">
         <obj_property name="ElementShortName">TX_FIFO_Full</obj_property>
         <obj_property name="ObjectShortName">TX_FIFO_Full</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/TX_FIFO_Empty">
         <obj_property name="ElementShortName">TX_FIFO_Empty</obj_property>
         <obj_property name="ObjectShortName">TX_FIFO_Empty</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/RX_FIFO_Full">
         <obj_property name="ElementShortName">RX_FIFO_Full</obj_property>
         <obj_property name="ObjectShortName">RX_FIFO_Full</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/RX_FIFO_Empty">
         <obj_property name="ElementShortName">RX_FIFO_Empty</obj_property>
         <obj_property name="ObjectShortName">RX_FIFO_Empty</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Decoder_lock">
         <obj_property name="ElementShortName">Decoder_lock</obj_property>
         <obj_property name="ObjectShortName">Decoder_lock</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Descrambler_lock">
         <obj_property name="ElementShortName">Descrambler_lock</obj_property>
         <obj_property name="ObjectShortName">Descrambler_lock</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/CRC24_Error">
         <obj_property name="ElementShortName">CRC24_Error</obj_property>
         <obj_property name="ObjectShortName">CRC24_Error</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/CRC32_Error">
         <obj_property name="ElementShortName">CRC32_Error</obj_property>
         <obj_property name="ObjectShortName">CRC32_Error</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/System_Clock_40">
         <obj_property name="ElementShortName">System_Clock_40</obj_property>
         <obj_property name="ObjectShortName">System_Clock_40</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/TX_User_Clock">
         <obj_property name="ElementShortName">TX_User_Clock</obj_property>
         <obj_property name="ObjectShortName">TX_User_Clock</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/RX_User_Clock">
         <obj_property name="ElementShortName">RX_User_Clock</obj_property>
         <obj_property name="ObjectShortName">RX_User_Clock</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Data_Transferred">
         <obj_property name="ElementShortName">Data_Transferred[66:0]</obj_property>
         <obj_property name="ObjectShortName">Data_Transferred[66:0]</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/RX_prog_full">
         <obj_property name="ElementShortName">RX_prog_full[15:0]</obj_property>
         <obj_property name="ObjectShortName">RX_prog_full[15:0]</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/FlowControl">
         <obj_property name="ElementShortName">FlowControl[15:0]</obj_property>
         <obj_property name="ObjectShortName">FlowControl[15:0]</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/RX_Datavalid_Out">
         <obj_property name="ElementShortName">RX_Datavalid_Out</obj_property>
         <obj_property name="ObjectShortName">RX_Datavalid_Out</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/RX_Header_Out">
         <obj_property name="ElementShortName">RX_Header_Out[2:0]</obj_property>
         <obj_property name="ObjectShortName">RX_Header_Out[2:0]</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/RX_Headervalid_Out">
         <obj_property name="ElementShortName">RX_Headervalid_Out</obj_property>
         <obj_property name="ObjectShortName">RX_Headervalid_Out</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/RX_Gearboxslip_In">
         <obj_property name="ElementShortName">RX_Gearboxslip_In</obj_property>
         <obj_property name="ObjectShortName">RX_Gearboxslip_In</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/RX_Resetdone_Out">
         <obj_property name="ElementShortName">RX_Resetdone_Out</obj_property>
         <obj_property name="ObjectShortName">RX_Resetdone_Out</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/TX_Gearboxready_Out">
         <obj_property name="ElementShortName">TX_Gearboxready_Out</obj_property>
         <obj_property name="ObjectShortName">TX_Gearboxready_Out</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/TX_Header_In">
         <obj_property name="ElementShortName">TX_Header_In[2:0]</obj_property>
         <obj_property name="ObjectShortName">TX_Header_In[2:0]</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/TX_Startseq_In">
         <obj_property name="ElementShortName">TX_Startseq_In</obj_property>
         <obj_property name="ObjectShortName">TX_Startseq_In</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/TX_Resetdone_Out">
         <obj_property name="ElementShortName">TX_Resetdone_Out</obj_property>
         <obj_property name="ObjectShortName">TX_Resetdone_Out</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Data_Transceiver_In">
         <obj_property name="ElementShortName">Data_Transceiver_In[63:0]</obj_property>
         <obj_property name="ObjectShortName">Data_Transceiver_In[63:0]</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Data_Transceiver_Out">
         <obj_property name="ElementShortName">Data_Transceiver_Out[63:0]</obj_property>
         <obj_property name="ObjectShortName">Data_Transceiver_Out[63:0]</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/GT0_DATA_VALID_IN">
         <obj_property name="ElementShortName">GT0_DATA_VALID_IN</obj_property>
         <obj_property name="ObjectShortName">GT0_DATA_VALID_IN</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/GT0_TX_FSM_RESET_DONE_OUT">
         <obj_property name="ElementShortName">GT0_TX_FSM_RESET_DONE_OUT</obj_property>
         <obj_property name="ObjectShortName">GT0_TX_FSM_RESET_DONE_OUT</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/locked">
         <obj_property name="ElementShortName">locked</obj_property>
         <obj_property name="ObjectShortName">locked</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/reset">
         <obj_property name="ElementShortName">reset</obj_property>
         <obj_property name="ObjectShortName">reset</obj_property>
      </wvobject>
      <wvobject type="other" fp_name="/testbench_Interface_Test/uut/interface/BurstMax">
         <obj_property name="ElementShortName">BurstMax</obj_property>
         <obj_property name="ObjectShortName">BurstMax</obj_property>
      </wvobject>
      <wvobject type="other" fp_name="/testbench_Interface_Test/uut/interface/BurstShort">
         <obj_property name="ElementShortName">BurstShort</obj_property>
         <obj_property name="ObjectShortName">BurstShort</obj_property>
      </wvobject>
      <wvobject type="other" fp_name="/testbench_Interface_Test/uut/interface/PacketLength">
         <obj_property name="ElementShortName">PacketLength</obj_property>
         <obj_property name="ObjectShortName">PacketLength</obj_property>
      </wvobject>
   </wvobject>
   <wvobject type="group" fp_name="group173">
      <obj_property name="label">InterlakenTX</obj_property>
      <obj_property name="DisplayName">label</obj_property>
      <obj_property name="isExpanded"></obj_property>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/write_clk">
         <obj_property name="ElementShortName">write_clk</obj_property>
         <obj_property name="ObjectShortName">write_clk</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/clk">
         <obj_property name="ElementShortName">clk</obj_property>
         <obj_property name="ObjectShortName">clk</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/reset">
         <obj_property name="ElementShortName">reset</obj_property>
         <obj_property name="ObjectShortName">reset</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/TX_Data_In">
         <obj_property name="ElementShortName">TX_Data_In[63:0]</obj_property>
         <obj_property name="ObjectShortName">TX_Data_In[63:0]</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/TX_Data_Out">
         <obj_property name="ElementShortName">TX_Data_Out[66:0]</obj_property>
         <obj_property name="ObjectShortName">TX_Data_Out[66:0]</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/TX_Enable">
         <obj_property name="ElementShortName">TX_Enable</obj_property>
         <obj_property name="ObjectShortName">TX_Enable</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/TX_SOP">
         <obj_property name="ElementShortName">TX_SOP</obj_property>
         <obj_property name="ObjectShortName">TX_SOP</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/TX_EOP_Valid">
         <obj_property name="ElementShortName">TX_EOP_Valid[2:0]</obj_property>
         <obj_property name="ObjectShortName">TX_EOP_Valid[2:0]</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/TX_EOP">
         <obj_property name="ElementShortName">TX_EOP</obj_property>
         <obj_property name="ObjectShortName">TX_EOP</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/TX_Channel">
         <obj_property name="ElementShortName">TX_Channel[7:0]</obj_property>
         <obj_property name="ObjectShortName">TX_Channel[7:0]</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/TX_Gearboxready">
         <obj_property name="ElementShortName">TX_Gearboxready</obj_property>
         <obj_property name="ObjectShortName">TX_Gearboxready</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/TX_Startseq">
         <obj_property name="ElementShortName">TX_Startseq</obj_property>
         <obj_property name="ObjectShortName">TX_Startseq</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/TX_FlowControl">
         <obj_property name="ElementShortName">TX_FlowControl[15:0]</obj_property>
         <obj_property name="ObjectShortName">TX_FlowControl[15:0]</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/RX_prog_full">
         <obj_property name="ElementShortName">RX_prog_full[15:0]</obj_property>
         <obj_property name="ObjectShortName">RX_prog_full[15:0]</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/TX_Link_Up">
         <obj_property name="ElementShortName">TX_Link_Up</obj_property>
         <obj_property name="ObjectShortName">TX_Link_Up</obj_property>
      </wvobject>
      <wvobject type="other" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/pres_state">
         <obj_property name="ElementShortName">pres_state</obj_property>
         <obj_property name="ObjectShortName">pres_state</obj_property>
      </wvobject>
      <wvobject type="other" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/next_state">
         <obj_property name="ElementShortName">next_state</obj_property>
         <obj_property name="ObjectShortName">next_state</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Data_Input">
         <obj_property name="ElementShortName">Data_Input[68:0]</obj_property>
         <obj_property name="ObjectShortName">Data_Input[68:0]</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Data_FIFO_In">
         <obj_property name="ElementShortName">Data_FIFO_In[68:0]</obj_property>
         <obj_property name="ObjectShortName">Data_FIFO_In[68:0]</obj_property>
         <obj_property name="CustomSignalColor">#00FF7F</obj_property>
         <obj_property name="UseCustomSignalColor">true</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/FIFO_Full">
         <obj_property name="ElementShortName">FIFO_Full</obj_property>
         <obj_property name="ObjectShortName">FIFO_Full</obj_property>
         <obj_property name="CustomSignalColor">#00FF7F</obj_property>
         <obj_property name="UseCustomSignalColor">true</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/FIFO_Empty">
         <obj_property name="ElementShortName">FIFO_Empty</obj_property>
         <obj_property name="ObjectShortName">FIFO_Empty</obj_property>
         <obj_property name="CustomSignalColor">#00FF7F</obj_property>
         <obj_property name="UseCustomSignalColor">true</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/FIFO_prog_full">
         <obj_property name="ElementShortName">FIFO_prog_full</obj_property>
         <obj_property name="ObjectShortName">FIFO_prog_full</obj_property>
         <obj_property name="CustomSignalColor">#00FF7F</obj_property>
         <obj_property name="UseCustomSignalColor">true</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/FIFO_prog_empty">
         <obj_property name="ElementShortName">FIFO_prog_empty</obj_property>
         <obj_property name="ObjectShortName">FIFO_prog_empty</obj_property>
         <obj_property name="CustomSignalColor">#00FF7F</obj_property>
         <obj_property name="UseCustomSignalColor">true</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/FIFO_Read_Data">
         <obj_property name="ElementShortName">FIFO_Read_Data</obj_property>
         <obj_property name="ObjectShortName">FIFO_Read_Data</obj_property>
         <obj_property name="CustomSignalColor">#00FF7F</obj_property>
         <obj_property name="UseCustomSignalColor">true</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/FIFO_Write_Data">
         <obj_property name="ElementShortName">FIFO_Write_Data</obj_property>
         <obj_property name="ObjectShortName">FIFO_Write_Data</obj_property>
         <obj_property name="CustomSignalColor">#00FF7F</obj_property>
         <obj_property name="UseCustomSignalColor">true</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/FIFO_Read_Count">
         <obj_property name="ElementShortName">FIFO_Read_Count[4:0]</obj_property>
         <obj_property name="ObjectShortName">FIFO_Read_Count[4:0]</obj_property>
         <obj_property name="CustomSignalColor">#00FF7F</obj_property>
         <obj_property name="UseCustomSignalColor">true</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/FIFO_Write_Count">
         <obj_property name="ElementShortName">FIFO_Write_Count[4:0]</obj_property>
         <obj_property name="ObjectShortName">FIFO_Write_Count[4:0]</obj_property>
         <obj_property name="CustomSignalColor">#00FF7F</obj_property>
         <obj_property name="UseCustomSignalColor">true</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Data_Burst_In">
         <obj_property name="ElementShortName">Data_Burst_In[68:0]</obj_property>
         <obj_property name="ObjectShortName">Data_Burst_In[68:0]</obj_property>
         <obj_property name="CustomSignalColor">#FF00FF</obj_property>
         <obj_property name="UseCustomSignalColor">true</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Data_Burst_Out">
         <obj_property name="ElementShortName">Data_Burst_Out[63:0]</obj_property>
         <obj_property name="ObjectShortName">Data_Burst_Out[63:0]</obj_property>
         <obj_property name="CustomSignalColor">#FF00FF</obj_property>
         <obj_property name="UseCustomSignalColor">true</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Data_Valid_Burst_Out">
         <obj_property name="ElementShortName">Data_Valid_Burst_Out</obj_property>
         <obj_property name="ObjectShortName">Data_Valid_Burst_Out</obj_property>
         <obj_property name="CustomSignalColor">#FF00FF</obj_property>
         <obj_property name="UseCustomSignalColor">true</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Data_Control_Burst_Out">
         <obj_property name="ElementShortName">Data_Control_Burst_Out</obj_property>
         <obj_property name="ObjectShortName">Data_Control_Burst_Out</obj_property>
         <obj_property name="CustomSignalColor">#FF00FF</obj_property>
         <obj_property name="UseCustomSignalColor">true</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Data_Meta_Out">
         <obj_property name="ElementShortName">Data_Meta_Out[63:0]</obj_property>
         <obj_property name="ObjectShortName">Data_Meta_Out[63:0]</obj_property>
         <obj_property name="CustomSignalColor">#E0FFFF</obj_property>
         <obj_property name="UseCustomSignalColor">true</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Data_Control_Meta_Out">
         <obj_property name="ElementShortName">Data_Control_Meta_Out</obj_property>
         <obj_property name="ObjectShortName">Data_Control_Meta_Out</obj_property>
         <obj_property name="CustomSignalColor">#E0FFFF</obj_property>
         <obj_property name="UseCustomSignalColor">true</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Data_Valid_Meta_Out">
         <obj_property name="ElementShortName">Data_Valid_Meta_Out</obj_property>
         <obj_property name="ObjectShortName">Data_Valid_Meta_Out</obj_property>
         <obj_property name="CustomSignalColor">#E0FFFF</obj_property>
         <obj_property name="UseCustomSignalColor">true</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/FIFO_Read_Meta">
         <obj_property name="ElementShortName">FIFO_Read_Meta</obj_property>
         <obj_property name="ObjectShortName">FIFO_Read_Meta</obj_property>
         <obj_property name="CustomSignalColor">#E0FFFF</obj_property>
         <obj_property name="UseCustomSignalColor">true</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Data_Control_Scrambler_Out">
         <obj_property name="ElementShortName">Data_Control_Scrambler_Out</obj_property>
         <obj_property name="ObjectShortName">Data_Control_Scrambler_Out</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Data_Valid_Scrambler_Out">
         <obj_property name="ElementShortName">Data_Valid_Scrambler_Out</obj_property>
         <obj_property name="ObjectShortName">Data_Valid_Scrambler_Out</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Data_Scrambler_Out">
         <obj_property name="ElementShortName">Data_Scrambler_Out[63:0]</obj_property>
         <obj_property name="ObjectShortName">Data_Scrambler_Out[63:0]</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/HealthStatus">
         <obj_property name="ElementShortName">HealthStatus[1:0]</obj_property>
         <obj_property name="ObjectShortName">HealthStatus[1:0]</obj_property>
      </wvobject>
      <wvobject type="other" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Gearbox_Count">
         <obj_property name="ElementShortName">Gearbox_Count</obj_property>
         <obj_property name="ObjectShortName">Gearbox_Count</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Gearbox_Pause">
         <obj_property name="ElementShortName">Gearbox_Pause</obj_property>
         <obj_property name="ObjectShortName">Gearbox_Pause</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/GearboxSignal">
         <obj_property name="ElementShortName">GearboxSignal</obj_property>
         <obj_property name="ObjectShortName">GearboxSignal</obj_property>
      </wvobject>
      <wvobject type="other" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/BurstMax">
         <obj_property name="ElementShortName">BurstMax</obj_property>
         <obj_property name="ObjectShortName">BurstMax</obj_property>
      </wvobject>
      <wvobject type="other" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/BurstShort">
         <obj_property name="ElementShortName">BurstShort</obj_property>
         <obj_property name="ObjectShortName">BurstShort</obj_property>
      </wvobject>
      <wvobject type="other" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/PacketLength">
         <obj_property name="ElementShortName">PacketLength</obj_property>
         <obj_property name="ObjectShortName">PacketLength</obj_property>
      </wvobject>
   </wvobject>
   <wvobject type="group" fp_name="group837">
      <obj_property name="label">Burstframing</obj_property>
      <obj_property name="DisplayName">label</obj_property>
      <obj_property name="isExpanded"></obj_property>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Burst/clk">
         <obj_property name="ElementShortName">clk</obj_property>
         <obj_property name="ObjectShortName">clk</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Burst/reset">
         <obj_property name="ElementShortName">reset</obj_property>
         <obj_property name="ObjectShortName">reset</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Burst/TX_Enable">
         <obj_property name="ElementShortName">TX_Enable</obj_property>
         <obj_property name="ObjectShortName">TX_Enable</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Burst/TX_SOP">
         <obj_property name="ElementShortName">TX_SOP</obj_property>
         <obj_property name="ObjectShortName">TX_SOP</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Burst/TX_ValidBytes">
         <obj_property name="ElementShortName">TX_ValidBytes[2:0]</obj_property>
         <obj_property name="ObjectShortName">TX_ValidBytes[2:0]</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Burst/TX_EOP">
         <obj_property name="ElementShortName">TX_EOP</obj_property>
         <obj_property name="ObjectShortName">TX_EOP</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Burst/TX_Channel">
         <obj_property name="ElementShortName">TX_Channel[7:0]</obj_property>
         <obj_property name="ObjectShortName">TX_Channel[7:0]</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Burst/Data_in">
         <obj_property name="ElementShortName">Data_in[63:0]</obj_property>
         <obj_property name="ObjectShortName">Data_in[63:0]</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Burst/Data_in_valid">
         <obj_property name="ElementShortName">Data_in_valid</obj_property>
         <obj_property name="ObjectShortName">Data_in_valid</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Burst/Data_out">
         <obj_property name="ElementShortName">Data_out[63:0]</obj_property>
         <obj_property name="ObjectShortName">Data_out[63:0]</obj_property>
         <obj_property name="CustomSignalColor">#E0FFFF</obj_property>
         <obj_property name="UseCustomSignalColor">true</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Burst/Data_valid_out">
         <obj_property name="ElementShortName">Data_valid_out</obj_property>
         <obj_property name="ObjectShortName">Data_valid_out</obj_property>
         <obj_property name="CustomSignalColor">#E0FFFF</obj_property>
         <obj_property name="UseCustomSignalColor">true</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Burst/Data_control_out">
         <obj_property name="ElementShortName">Data_control_out</obj_property>
         <obj_property name="ObjectShortName">Data_control_out</obj_property>
         <obj_property name="CustomSignalColor">#E0FFFF</obj_property>
         <obj_property name="UseCustomSignalColor">true</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Burst/TX_FlowControl">
         <obj_property name="ElementShortName">TX_FlowControl[15:0]</obj_property>
         <obj_property name="ObjectShortName">TX_FlowControl[15:0]</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Burst/RX_prog_full">
         <obj_property name="ElementShortName">RX_prog_full[15:0]</obj_property>
         <obj_property name="ObjectShortName">RX_prog_full[15:0]</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Burst/FIFO_data">
         <obj_property name="ElementShortName">FIFO_data[4:0]</obj_property>
         <obj_property name="ObjectShortName">FIFO_data[4:0]</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Burst/FIFO_meta">
         <obj_property name="ElementShortName">FIFO_meta</obj_property>
         <obj_property name="ObjectShortName">FIFO_meta</obj_property>
         <obj_property name="CustomSignalColor">#FFD700</obj_property>
         <obj_property name="UseCustomSignalColor">true</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Burst/FIFO_read">
         <obj_property name="ElementShortName">FIFO_read</obj_property>
         <obj_property name="ObjectShortName">FIFO_read</obj_property>
         <obj_property name="CustomSignalColor">#FFD700</obj_property>
         <obj_property name="UseCustomSignalColor">true</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Burst/Gearboxready">
         <obj_property name="ElementShortName">Gearboxready</obj_property>
         <obj_property name="ObjectShortName">Gearboxready</obj_property>
         <obj_property name="CustomSignalColor">#FFD700</obj_property>
         <obj_property name="UseCustomSignalColor">true</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Burst/FIFO_Empty">
         <obj_property name="ElementShortName">FIFO_Empty</obj_property>
         <obj_property name="ObjectShortName">FIFO_Empty</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Burst/FIFO_readreq">
         <obj_property name="ElementShortName">FIFO_readreq</obj_property>
         <obj_property name="ObjectShortName">FIFO_readreq</obj_property>
      </wvobject>
      <wvobject type="other" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Burst/pres_state">
         <obj_property name="ElementShortName">pres_state</obj_property>
         <obj_property name="ObjectShortName">pres_state</obj_property>
      </wvobject>
      <wvobject type="other" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Burst/next_state">
         <obj_property name="ElementShortName">next_state</obj_property>
         <obj_property name="ObjectShortName">next_state</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Burst/Data_Temp">
         <obj_property name="ElementShortName">Data_Temp[63:0]</obj_property>
         <obj_property name="ObjectShortName">Data_Temp[63:0]</obj_property>
         <obj_property name="CustomSignalColor">#E0FFFF</obj_property>
         <obj_property name="UseCustomSignalColor">true</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Burst/Data_valid_temp">
         <obj_property name="ElementShortName">Data_valid_temp</obj_property>
         <obj_property name="ObjectShortName">Data_valid_temp</obj_property>
         <obj_property name="CustomSignalColor">#E0FFFF</obj_property>
         <obj_property name="UseCustomSignalColor">true</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Burst/valid_temp">
         <obj_property name="ElementShortName">valid_temp</obj_property>
         <obj_property name="ObjectShortName">valid_temp</obj_property>
      </wvobject>
      <wvobject type="other" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Burst/Byte_Counter">
         <obj_property name="ElementShortName">Byte_Counter</obj_property>
         <obj_property name="ObjectShortName">Byte_Counter</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Burst/Word_Control_out">
         <obj_property name="ElementShortName">Word_Control_out</obj_property>
         <obj_property name="ObjectShortName">Word_Control_out</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Burst/Data_P1">
         <obj_property name="ElementShortName">Data_P1[63:0]</obj_property>
         <obj_property name="ObjectShortName">Data_P1[63:0]</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Burst/Data_P2">
         <obj_property name="ElementShortName">Data_P2[63:0]</obj_property>
         <obj_property name="ObjectShortName">Data_P2[63:0]</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Burst/ControlValid_P1">
         <obj_property name="ElementShortName">ControlValid_P1[1:0]</obj_property>
         <obj_property name="ObjectShortName">ControlValid_P1[1:0]</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Burst/ControlValid_P2">
         <obj_property name="ElementShortName">ControlValid_P2[1:0]</obj_property>
         <obj_property name="ObjectShortName">ControlValid_P2[1:0]</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Burst/CRC24_TX">
         <obj_property name="ElementShortName">CRC24_TX[63:0]</obj_property>
         <obj_property name="ObjectShortName">CRC24_TX[63:0]</obj_property>
         <obj_property name="CustomSignalColor">#E0FFFF</obj_property>
         <obj_property name="UseCustomSignalColor">true</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Burst/Data_Valid">
         <obj_property name="ElementShortName">Data_Valid</obj_property>
         <obj_property name="ObjectShortName">Data_Valid</obj_property>
         <obj_property name="CustomSignalColor">#E0FFFF</obj_property>
         <obj_property name="UseCustomSignalColor">true</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Burst/Data_Control">
         <obj_property name="ElementShortName">Data_Control</obj_property>
         <obj_property name="ObjectShortName">Data_Control</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Burst/CRC24_Out">
         <obj_property name="ElementShortName">CRC24_Out[31:0]</obj_property>
         <obj_property name="ObjectShortName">CRC24_Out[31:0]</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Burst/CRC24_En">
         <obj_property name="ElementShortName">CRC24_En</obj_property>
         <obj_property name="ObjectShortName">CRC24_En</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Burst/CRC24_RST">
         <obj_property name="ElementShortName">CRC24_RST</obj_property>
         <obj_property name="ObjectShortName">CRC24_RST</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Burst/CRC24_P1">
         <obj_property name="ElementShortName">CRC24_P1</obj_property>
         <obj_property name="ObjectShortName">CRC24_P1</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Burst/CRC24_RST_P1">
         <obj_property name="ElementShortName">CRC24_RST_P1</obj_property>
         <obj_property name="ObjectShortName">CRC24_RST_P1</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Burst/CRC24_Stored">
         <obj_property name="ElementShortName">CRC24_Stored[31:0]</obj_property>
         <obj_property name="ObjectShortName">CRC24_Stored[31:0]</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Burst/CRC24_Ready">
         <obj_property name="ElementShortName">CRC24_Ready</obj_property>
         <obj_property name="ObjectShortName">CRC24_Ready</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Burst/CRC_P1">
         <obj_property name="ElementShortName">CRC_P1</obj_property>
         <obj_property name="ObjectShortName">CRC_P1</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Burst/CRC_P2">
         <obj_property name="ElementShortName">CRC_P2</obj_property>
         <obj_property name="ObjectShortName">CRC_P2</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Burst/Gearboxready_P1">
         <obj_property name="ElementShortName">Gearboxready_P1</obj_property>
         <obj_property name="ObjectShortName">Gearboxready_P1</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Burst/CalcCrc">
         <obj_property name="ElementShortName">CalcCrc</obj_property>
         <obj_property name="ObjectShortName">CalcCrc</obj_property>
      </wvobject>
      <wvobject type="other" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Burst/BurstMax">
         <obj_property name="ElementShortName">BurstMax</obj_property>
         <obj_property name="ObjectShortName">BurstMax</obj_property>
      </wvobject>
      <wvobject type="other" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Burst/BurstShort">
         <obj_property name="ElementShortName">BurstShort</obj_property>
         <obj_property name="ObjectShortName">BurstShort</obj_property>
      </wvobject>
   </wvobject>
   <wvobject type="group" fp_name="group363">
      <obj_property name="label">Metaframing</obj_property>
      <obj_property name="DisplayName">label</obj_property>
      <obj_property name="isExpanded"></obj_property>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Meta/clk">
         <obj_property name="ElementShortName">clk</obj_property>
         <obj_property name="ObjectShortName">clk</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Meta/reset">
         <obj_property name="ElementShortName">reset</obj_property>
         <obj_property name="ObjectShortName">reset</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Meta/TX_Enable">
         <obj_property name="ElementShortName">TX_Enable</obj_property>
         <obj_property name="ObjectShortName">TX_Enable</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Meta/HealthLane">
         <obj_property name="ElementShortName">HealthLane</obj_property>
         <obj_property name="ObjectShortName">HealthLane</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Meta/HealthInterface">
         <obj_property name="ElementShortName">HealthInterface</obj_property>
         <obj_property name="ObjectShortName">HealthInterface</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Meta/Data_In">
         <obj_property name="ElementShortName">Data_In[63:0]</obj_property>
         <obj_property name="ObjectShortName">Data_In[63:0]</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Meta/Data_Out">
         <obj_property name="ElementShortName">Data_Out[63:0]</obj_property>
         <obj_property name="ObjectShortName">Data_Out[63:0]</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Meta/Data_Valid_In">
         <obj_property name="ElementShortName">Data_Valid_In</obj_property>
         <obj_property name="ObjectShortName">Data_Valid_In</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Meta/Data_Valid_Out">
         <obj_property name="ElementShortName">Data_Valid_Out</obj_property>
         <obj_property name="ObjectShortName">Data_Valid_Out</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Meta/Data_Control_In">
         <obj_property name="ElementShortName">Data_Control_In</obj_property>
         <obj_property name="ObjectShortName">Data_Control_In</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Meta/Data_Control_Out">
         <obj_property name="ElementShortName">Data_Control_Out</obj_property>
         <obj_property name="ObjectShortName">Data_Control_Out</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Meta/Gearboxready">
         <obj_property name="ElementShortName">Gearboxready</obj_property>
         <obj_property name="ObjectShortName">Gearboxready</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Meta/FIFO_read">
         <obj_property name="ElementShortName">FIFO_read</obj_property>
         <obj_property name="ObjectShortName">FIFO_read</obj_property>
      </wvobject>
      <wvobject type="other" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Meta/pres_state">
         <obj_property name="ElementShortName">pres_state</obj_property>
         <obj_property name="ObjectShortName">pres_state</obj_property>
      </wvobject>
      <wvobject type="other" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Meta/next_state">
         <obj_property name="ElementShortName">next_state</obj_property>
         <obj_property name="ObjectShortName">next_state</obj_property>
      </wvobject>
      <wvobject type="other" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Meta/Packet_Counter">
         <obj_property name="ElementShortName">Packet_Counter</obj_property>
         <obj_property name="ObjectShortName">Packet_Counter</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Meta/Data_Control">
         <obj_property name="ElementShortName">Data_Control</obj_property>
         <obj_property name="ObjectShortName">Data_Control</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Meta/Data_Control_Meta">
         <obj_property name="ElementShortName">Data_Control_Meta</obj_property>
         <obj_property name="ObjectShortName">Data_Control_Meta</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Meta/Data_Control_Burst">
         <obj_property name="ElementShortName">Data_Control_Burst</obj_property>
         <obj_property name="ObjectShortName">Data_Control_Burst</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Meta/Data_Valid">
         <obj_property name="ElementShortName">Data_Valid</obj_property>
         <obj_property name="ObjectShortName">Data_Valid</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Meta/Data_P1">
         <obj_property name="ElementShortName">Data_P1[63:0]</obj_property>
         <obj_property name="ObjectShortName">Data_P1[63:0]</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Meta/Data_P2">
         <obj_property name="ElementShortName">Data_P2[63:0]</obj_property>
         <obj_property name="ObjectShortName">Data_P2[63:0]</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Meta/Data_P3">
         <obj_property name="ElementShortName">Data_P3[63:0]</obj_property>
         <obj_property name="ObjectShortName">Data_P3[63:0]</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Meta/Control_P1">
         <obj_property name="ElementShortName">Control_P1</obj_property>
         <obj_property name="ObjectShortName">Control_P1</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Meta/Control_P2">
         <obj_property name="ElementShortName">Control_P2</obj_property>
         <obj_property name="ObjectShortName">Control_P2</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Meta/Control_P3">
         <obj_property name="ElementShortName">Control_P3</obj_property>
         <obj_property name="ObjectShortName">Control_P3</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Meta/Data_valid_p1">
         <obj_property name="ElementShortName">Data_valid_p1</obj_property>
         <obj_property name="ObjectShortName">Data_valid_p1</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Meta/Data_valid_p2">
         <obj_property name="ElementShortName">Data_valid_p2</obj_property>
         <obj_property name="ObjectShortName">Data_valid_p2</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Meta/Data_valid_p3">
         <obj_property name="ElementShortName">Data_valid_p3</obj_property>
         <obj_property name="ObjectShortName">Data_valid_p3</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Meta/Data_valid_framed">
         <obj_property name="ElementShortName">Data_valid_framed</obj_property>
         <obj_property name="ObjectShortName">Data_valid_framed</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Meta/Data_ControlValid_P1">
         <obj_property name="ElementShortName">Data_ControlValid_P1[1:0]</obj_property>
         <obj_property name="ObjectShortName">Data_ControlValid_P1[1:0]</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Meta/Data_ControlValid_P2">
         <obj_property name="ElementShortName">Data_ControlValid_P2[1:0]</obj_property>
         <obj_property name="ObjectShortName">Data_ControlValid_P2[1:0]</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Meta/Data_Framed">
         <obj_property name="ElementShortName">Data_Framed[63:0]</obj_property>
         <obj_property name="ObjectShortName">Data_Framed[63:0]</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Meta/Data_Framed_P1">
         <obj_property name="ElementShortName">Data_Framed_P1[63:0]</obj_property>
         <obj_property name="ObjectShortName">Data_Framed_P1[63:0]</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Meta/Data_Framed_P2">
         <obj_property name="ElementShortName">Data_Framed_P2[63:0]</obj_property>
         <obj_property name="ObjectShortName">Data_Framed_P2[63:0]</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Meta/CRC32_Out">
         <obj_property name="ElementShortName">CRC32_Out[31:0]</obj_property>
         <obj_property name="ObjectShortName">CRC32_Out[31:0]</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Meta/CRC32_En">
         <obj_property name="ElementShortName">CRC32_En</obj_property>
         <obj_property name="ObjectShortName">CRC32_En</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Meta/CRC32_Rst">
         <obj_property name="ElementShortName">CRC32_Rst</obj_property>
         <obj_property name="ObjectShortName">CRC32_Rst</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Meta/CalcCRC">
         <obj_property name="ElementShortName">CalcCRC</obj_property>
         <obj_property name="ObjectShortName">CalcCRC</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Meta/CRC32_Ready">
         <obj_property name="ElementShortName">CRC32_Ready</obj_property>
         <obj_property name="ObjectShortName">CRC32_Ready</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Meta/Gearboxready_P1">
         <obj_property name="ElementShortName">Gearboxready_P1</obj_property>
         <obj_property name="ObjectShortName">Gearboxready_P1</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Meta/CRC32_Rst_P1">
         <obj_property name="ElementShortName">CRC32_Rst_P1</obj_property>
         <obj_property name="ObjectShortName">CRC32_Rst_P1</obj_property>
      </wvobject>
      <wvobject type="other" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Framing_Meta/PacketLength">
         <obj_property name="ElementShortName">PacketLength</obj_property>
         <obj_property name="ObjectShortName">PacketLength</obj_property>
      </wvobject>
   </wvobject>
   <wvobject type="group" fp_name="group326">
      <obj_property name="label">Scrambler</obj_property>
      <obj_property name="DisplayName">label</obj_property>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Scrambling/Clk">
         <obj_property name="ElementShortName">Clk</obj_property>
         <obj_property name="ObjectShortName">Clk</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Scrambling/Scram_Rst">
         <obj_property name="ElementShortName">Scram_Rst</obj_property>
         <obj_property name="ObjectShortName">Scram_Rst</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Scrambling/Data_In">
         <obj_property name="ElementShortName">Data_In[63:0]</obj_property>
         <obj_property name="ObjectShortName">Data_In[63:0]</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Scrambling/Data_Out">
         <obj_property name="ElementShortName">Data_Out[63:0]</obj_property>
         <obj_property name="ObjectShortName">Data_Out[63:0]</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Scrambling/Lane_Number">
         <obj_property name="ElementShortName">Lane_Number[3:0]</obj_property>
         <obj_property name="ObjectShortName">Lane_Number[3:0]</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Scrambling/Scrambler_En">
         <obj_property name="ElementShortName">Scrambler_En</obj_property>
         <obj_property name="ObjectShortName">Scrambler_En</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Scrambling/Data_Control_In">
         <obj_property name="ElementShortName">Data_Control_In</obj_property>
         <obj_property name="ObjectShortName">Data_Control_In</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Scrambling/Data_Control_Out">
         <obj_property name="ElementShortName">Data_Control_Out</obj_property>
         <obj_property name="ObjectShortName">Data_Control_Out</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Scrambling/Data_Valid_In">
         <obj_property name="ElementShortName">Data_Valid_In</obj_property>
         <obj_property name="ObjectShortName">Data_Valid_In</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Scrambling/Data_Valid_Out">
         <obj_property name="ElementShortName">Data_Valid_Out</obj_property>
         <obj_property name="ObjectShortName">Data_Valid_Out</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Scrambling/Gearboxready">
         <obj_property name="ElementShortName">Gearboxready</obj_property>
         <obj_property name="ObjectShortName">Gearboxready</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Scrambling/Poly">
         <obj_property name="ElementShortName">Poly[57:0]</obj_property>
         <obj_property name="ObjectShortName">Poly[57:0]</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Scrambling/Shiftreg">
         <obj_property name="ElementShortName">Shiftreg[63:0]</obj_property>
         <obj_property name="ObjectShortName">Shiftreg[63:0]</obj_property>
      </wvobject>
   </wvobject>
   <wvobject type="group" fp_name="group313">
      <obj_property name="label">Encoder</obj_property>
      <obj_property name="DisplayName">label</obj_property>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Encoding/Clk">
         <obj_property name="ElementShortName">Clk</obj_property>
         <obj_property name="ObjectShortName">Clk</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Encoding/Data_In">
         <obj_property name="ElementShortName">Data_In[63:0]</obj_property>
         <obj_property name="ObjectShortName">Data_In[63:0]</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Encoding/Data_Out">
         <obj_property name="ElementShortName">Data_Out[66:0]</obj_property>
         <obj_property name="ObjectShortName">Data_Out[66:0]</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Encoding/Data_Control">
         <obj_property name="ElementShortName">Data_Control</obj_property>
         <obj_property name="ObjectShortName">Data_Control</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Encoding/Data_valid_in">
         <obj_property name="ElementShortName">Data_valid_in</obj_property>
         <obj_property name="ObjectShortName">Data_valid_in</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Encoding/Data_valid_out">
         <obj_property name="ElementShortName">Data_valid_out</obj_property>
         <obj_property name="ObjectShortName">Data_valid_out</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Encoding/Encoder_En">
         <obj_property name="ElementShortName">Encoder_En</obj_property>
         <obj_property name="ObjectShortName">Encoder_En</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Encoding/Encoder_Rst">
         <obj_property name="ElementShortName">Encoder_Rst</obj_property>
         <obj_property name="ObjectShortName">Encoder_Rst</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Encoding/Offset">
         <obj_property name="ElementShortName">Offset[7:0]</obj_property>
         <obj_property name="ObjectShortName">Offset[7:0]</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_TX/Encoding/Gearboxready">
         <obj_property name="ElementShortName">Gearboxready</obj_property>
         <obj_property name="ObjectShortName">Gearboxready</obj_property>
      </wvobject>
   </wvobject>
   <wvobject type="group" fp_name="group509">
      <obj_property name="label">Transceiver</obj_property>
      <obj_property name="DisplayName">label</obj_property>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Transceiver_10g_64b67b_i/SOFT_RESET_TX_IN">
         <obj_property name="ElementShortName">SOFT_RESET_TX_IN</obj_property>
         <obj_property name="ObjectShortName">SOFT_RESET_TX_IN</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Transceiver_10g_64b67b_i/SOFT_RESET_RX_IN">
         <obj_property name="ElementShortName">SOFT_RESET_RX_IN</obj_property>
         <obj_property name="ObjectShortName">SOFT_RESET_RX_IN</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Transceiver_10g_64b67b_i/DONT_RESET_ON_DATA_ERROR_IN">
         <obj_property name="ElementShortName">DONT_RESET_ON_DATA_ERROR_IN</obj_property>
         <obj_property name="ObjectShortName">DONT_RESET_ON_DATA_ERROR_IN</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Transceiver_10g_64b67b_i/Q0_CLK0_GTREFCLK_PAD_N_IN">
         <obj_property name="ElementShortName">Q0_CLK0_GTREFCLK_PAD_N_IN</obj_property>
         <obj_property name="ObjectShortName">Q0_CLK0_GTREFCLK_PAD_N_IN</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Transceiver_10g_64b67b_i/Q0_CLK0_GTREFCLK_PAD_P_IN">
         <obj_property name="ElementShortName">Q0_CLK0_GTREFCLK_PAD_P_IN</obj_property>
         <obj_property name="ObjectShortName">Q0_CLK0_GTREFCLK_PAD_P_IN</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Transceiver_10g_64b67b_i/GT0_TX_FSM_RESET_DONE_OUT">
         <obj_property name="ElementShortName">GT0_TX_FSM_RESET_DONE_OUT</obj_property>
         <obj_property name="ObjectShortName">GT0_TX_FSM_RESET_DONE_OUT</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Transceiver_10g_64b67b_i/GT0_RX_FSM_RESET_DONE_OUT">
         <obj_property name="ElementShortName">GT0_RX_FSM_RESET_DONE_OUT</obj_property>
         <obj_property name="ObjectShortName">GT0_RX_FSM_RESET_DONE_OUT</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Transceiver_10g_64b67b_i/GT0_DATA_VALID_IN">
         <obj_property name="ElementShortName">GT0_DATA_VALID_IN</obj_property>
         <obj_property name="ObjectShortName">GT0_DATA_VALID_IN</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Transceiver_10g_64b67b_i/GT0_TX_MMCM_LOCK_OUT">
         <obj_property name="ElementShortName">GT0_TX_MMCM_LOCK_OUT</obj_property>
         <obj_property name="ObjectShortName">GT0_TX_MMCM_LOCK_OUT</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Transceiver_10g_64b67b_i/GT0_RX_MMCM_LOCK_OUT">
         <obj_property name="ElementShortName">GT0_RX_MMCM_LOCK_OUT</obj_property>
         <obj_property name="ObjectShortName">GT0_RX_MMCM_LOCK_OUT</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Transceiver_10g_64b67b_i/GT0_TXUSRCLK_OUT">
         <obj_property name="ElementShortName">GT0_TXUSRCLK_OUT</obj_property>
         <obj_property name="ObjectShortName">GT0_TXUSRCLK_OUT</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Transceiver_10g_64b67b_i/GT0_TXUSRCLK2_OUT">
         <obj_property name="ElementShortName">GT0_TXUSRCLK2_OUT</obj_property>
         <obj_property name="ObjectShortName">GT0_TXUSRCLK2_OUT</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Transceiver_10g_64b67b_i/GT0_RXUSRCLK_OUT">
         <obj_property name="ElementShortName">GT0_RXUSRCLK_OUT</obj_property>
         <obj_property name="ObjectShortName">GT0_RXUSRCLK_OUT</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Transceiver_10g_64b67b_i/GT0_RXUSRCLK2_OUT">
         <obj_property name="ElementShortName">GT0_RXUSRCLK2_OUT</obj_property>
         <obj_property name="ObjectShortName">GT0_RXUSRCLK2_OUT</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Transceiver_10g_64b67b_i/gt0_drpaddr_in">
         <obj_property name="ElementShortName">gt0_drpaddr_in[8:0]</obj_property>
         <obj_property name="ObjectShortName">gt0_drpaddr_in[8:0]</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Transceiver_10g_64b67b_i/gt0_drpdi_in">
         <obj_property name="ElementShortName">gt0_drpdi_in[15:0]</obj_property>
         <obj_property name="ObjectShortName">gt0_drpdi_in[15:0]</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Transceiver_10g_64b67b_i/gt0_drpdo_out">
         <obj_property name="ElementShortName">gt0_drpdo_out[15:0]</obj_property>
         <obj_property name="ObjectShortName">gt0_drpdo_out[15:0]</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Transceiver_10g_64b67b_i/gt0_drpen_in">
         <obj_property name="ElementShortName">gt0_drpen_in</obj_property>
         <obj_property name="ObjectShortName">gt0_drpen_in</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Transceiver_10g_64b67b_i/gt0_drprdy_out">
         <obj_property name="ElementShortName">gt0_drprdy_out</obj_property>
         <obj_property name="ObjectShortName">gt0_drprdy_out</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Transceiver_10g_64b67b_i/gt0_drpwe_in">
         <obj_property name="ElementShortName">gt0_drpwe_in</obj_property>
         <obj_property name="ObjectShortName">gt0_drpwe_in</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Transceiver_10g_64b67b_i/gt0_dmonitorout_out">
         <obj_property name="ElementShortName">gt0_dmonitorout_out[7:0]</obj_property>
         <obj_property name="ObjectShortName">gt0_dmonitorout_out[7:0]</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Transceiver_10g_64b67b_i/gt0_eyescanreset_in">
         <obj_property name="ElementShortName">gt0_eyescanreset_in</obj_property>
         <obj_property name="ObjectShortName">gt0_eyescanreset_in</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Transceiver_10g_64b67b_i/gt0_rxuserrdy_in">
         <obj_property name="ElementShortName">gt0_rxuserrdy_in</obj_property>
         <obj_property name="ObjectShortName">gt0_rxuserrdy_in</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Transceiver_10g_64b67b_i/gt0_eyescandataerror_out">
         <obj_property name="ElementShortName">gt0_eyescandataerror_out</obj_property>
         <obj_property name="ObjectShortName">gt0_eyescandataerror_out</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Transceiver_10g_64b67b_i/gt0_eyescantrigger_in">
         <obj_property name="ElementShortName">gt0_eyescantrigger_in</obj_property>
         <obj_property name="ObjectShortName">gt0_eyescantrigger_in</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Transceiver_10g_64b67b_i/gt0_rxdata_out">
         <obj_property name="ElementShortName">gt0_rxdata_out[63:0]</obj_property>
         <obj_property name="ObjectShortName">gt0_rxdata_out[63:0]</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Transceiver_10g_64b67b_i/gt0_gtxrxp_in">
         <obj_property name="ElementShortName">gt0_gtxrxp_in</obj_property>
         <obj_property name="ObjectShortName">gt0_gtxrxp_in</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Transceiver_10g_64b67b_i/gt0_gtxrxn_in">
         <obj_property name="ElementShortName">gt0_gtxrxn_in</obj_property>
         <obj_property name="ObjectShortName">gt0_gtxrxn_in</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Transceiver_10g_64b67b_i/gt0_rxdfelpmreset_in">
         <obj_property name="ElementShortName">gt0_rxdfelpmreset_in</obj_property>
         <obj_property name="ObjectShortName">gt0_rxdfelpmreset_in</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Transceiver_10g_64b67b_i/gt0_rxmonitorout_out">
         <obj_property name="ElementShortName">gt0_rxmonitorout_out[6:0]</obj_property>
         <obj_property name="ObjectShortName">gt0_rxmonitorout_out[6:0]</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Transceiver_10g_64b67b_i/gt0_rxmonitorsel_in">
         <obj_property name="ElementShortName">gt0_rxmonitorsel_in[1:0]</obj_property>
         <obj_property name="ObjectShortName">gt0_rxmonitorsel_in[1:0]</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Transceiver_10g_64b67b_i/gt0_rxoutclkfabric_out">
         <obj_property name="ElementShortName">gt0_rxoutclkfabric_out</obj_property>
         <obj_property name="ObjectShortName">gt0_rxoutclkfabric_out</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Transceiver_10g_64b67b_i/gt0_rxdatavalid_out">
         <obj_property name="ElementShortName">gt0_rxdatavalid_out</obj_property>
         <obj_property name="ObjectShortName">gt0_rxdatavalid_out</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Transceiver_10g_64b67b_i/gt0_rxheader_out">
         <obj_property name="ElementShortName">gt0_rxheader_out[2:0]</obj_property>
         <obj_property name="ObjectShortName">gt0_rxheader_out[2:0]</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Transceiver_10g_64b67b_i/gt0_rxheadervalid_out">
         <obj_property name="ElementShortName">gt0_rxheadervalid_out</obj_property>
         <obj_property name="ObjectShortName">gt0_rxheadervalid_out</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Transceiver_10g_64b67b_i/gt0_rxgearboxslip_in">
         <obj_property name="ElementShortName">gt0_rxgearboxslip_in</obj_property>
         <obj_property name="ObjectShortName">gt0_rxgearboxslip_in</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Transceiver_10g_64b67b_i/gt0_gtrxreset_in">
         <obj_property name="ElementShortName">gt0_gtrxreset_in</obj_property>
         <obj_property name="ObjectShortName">gt0_gtrxreset_in</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Transceiver_10g_64b67b_i/gt0_rxpmareset_in">
         <obj_property name="ElementShortName">gt0_rxpmareset_in</obj_property>
         <obj_property name="ObjectShortName">gt0_rxpmareset_in</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Transceiver_10g_64b67b_i/gt0_rxresetdone_out">
         <obj_property name="ElementShortName">gt0_rxresetdone_out</obj_property>
         <obj_property name="ObjectShortName">gt0_rxresetdone_out</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Transceiver_10g_64b67b_i/gt0_gttxreset_in">
         <obj_property name="ElementShortName">gt0_gttxreset_in</obj_property>
         <obj_property name="ObjectShortName">gt0_gttxreset_in</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Transceiver_10g_64b67b_i/gt0_txuserrdy_in">
         <obj_property name="ElementShortName">gt0_txuserrdy_in</obj_property>
         <obj_property name="ObjectShortName">gt0_txuserrdy_in</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Transceiver_10g_64b67b_i/gt0_txdata_in">
         <obj_property name="ElementShortName">gt0_txdata_in[63:0]</obj_property>
         <obj_property name="ObjectShortName">gt0_txdata_in[63:0]</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Transceiver_10g_64b67b_i/gt0_gtxtxn_out">
         <obj_property name="ElementShortName">gt0_gtxtxn_out</obj_property>
         <obj_property name="ObjectShortName">gt0_gtxtxn_out</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Transceiver_10g_64b67b_i/gt0_gtxtxp_out">
         <obj_property name="ElementShortName">gt0_gtxtxp_out</obj_property>
         <obj_property name="ObjectShortName">gt0_gtxtxp_out</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Transceiver_10g_64b67b_i/gt0_txoutclkfabric_out">
         <obj_property name="ElementShortName">gt0_txoutclkfabric_out</obj_property>
         <obj_property name="ObjectShortName">gt0_txoutclkfabric_out</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Transceiver_10g_64b67b_i/gt0_txoutclkpcs_out">
         <obj_property name="ElementShortName">gt0_txoutclkpcs_out</obj_property>
         <obj_property name="ObjectShortName">gt0_txoutclkpcs_out</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Transceiver_10g_64b67b_i/gt0_txgearboxready_out">
         <obj_property name="ElementShortName">gt0_txgearboxready_out</obj_property>
         <obj_property name="ObjectShortName">gt0_txgearboxready_out</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Transceiver_10g_64b67b_i/gt0_txheader_in">
         <obj_property name="ElementShortName">gt0_txheader_in[2:0]</obj_property>
         <obj_property name="ObjectShortName">gt0_txheader_in[2:0]</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Transceiver_10g_64b67b_i/gt0_txstartseq_in">
         <obj_property name="ElementShortName">gt0_txstartseq_in</obj_property>
         <obj_property name="ObjectShortName">gt0_txstartseq_in</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Transceiver_10g_64b67b_i/gt0_txresetdone_out">
         <obj_property name="ElementShortName">gt0_txresetdone_out</obj_property>
         <obj_property name="ObjectShortName">gt0_txresetdone_out</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Transceiver_10g_64b67b_i/GT0_QPLLLOCK_OUT">
         <obj_property name="ElementShortName">GT0_QPLLLOCK_OUT</obj_property>
         <obj_property name="ObjectShortName">GT0_QPLLLOCK_OUT</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Transceiver_10g_64b67b_i/GT0_QPLLREFCLKLOST_OUT">
         <obj_property name="ElementShortName">GT0_QPLLREFCLKLOST_OUT</obj_property>
         <obj_property name="ObjectShortName">GT0_QPLLREFCLKLOST_OUT</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Transceiver_10g_64b67b_i/GT0_QPLLOUTCLK_OUT">
         <obj_property name="ElementShortName">GT0_QPLLOUTCLK_OUT</obj_property>
         <obj_property name="ObjectShortName">GT0_QPLLOUTCLK_OUT</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Transceiver_10g_64b67b_i/GT0_QPLLOUTREFCLK_OUT">
         <obj_property name="ElementShortName">GT0_QPLLOUTREFCLK_OUT</obj_property>
         <obj_property name="ObjectShortName">GT0_QPLLOUTREFCLK_OUT</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Transceiver_10g_64b67b_i/sysclk_in">
         <obj_property name="ElementShortName">sysclk_in</obj_property>
         <obj_property name="ObjectShortName">sysclk_in</obj_property>
      </wvobject>
   </wvobject>
   <wvobject type="group" fp_name="group130">
      <obj_property name="label">InterlakenRX</obj_property>
      <obj_property name="DisplayName">label</obj_property>
      <obj_property name="isExpanded"></obj_property>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/fifo_read_clk">
         <obj_property name="ElementShortName">fifo_read_clk</obj_property>
         <obj_property name="ObjectShortName">fifo_read_clk</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/clk">
         <obj_property name="ElementShortName">clk</obj_property>
         <obj_property name="ObjectShortName">clk</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/reset">
         <obj_property name="ElementShortName">reset</obj_property>
         <obj_property name="ObjectShortName">reset</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/RX_Data_In">
         <obj_property name="ElementShortName">RX_Data_In[66:0]</obj_property>
         <obj_property name="ObjectShortName">RX_Data_In[66:0]</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/RX_Data_Out">
         <obj_property name="ElementShortName">RX_Data_Out[63:0]</obj_property>
         <obj_property name="ObjectShortName">RX_Data_Out[63:0]</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/RX_Enable">
         <obj_property name="ElementShortName">RX_Enable</obj_property>
         <obj_property name="ObjectShortName">RX_Enable</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/RX_SOP">
         <obj_property name="ElementShortName">RX_SOP</obj_property>
         <obj_property name="ObjectShortName">RX_SOP</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/RX_ValidBytes">
         <obj_property name="ElementShortName">RX_ValidBytes[2:0]</obj_property>
         <obj_property name="ObjectShortName">RX_ValidBytes[2:0]</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/RX_EOP">
         <obj_property name="ElementShortName">RX_EOP</obj_property>
         <obj_property name="ObjectShortName">RX_EOP</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/RX_FlowControl">
         <obj_property name="ElementShortName">RX_FlowControl[15:0]</obj_property>
         <obj_property name="ObjectShortName">RX_FlowControl[15:0]</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/RX_prog_full">
         <obj_property name="ElementShortName">RX_prog_full[15:0]</obj_property>
         <obj_property name="ObjectShortName">RX_prog_full[15:0]</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/RX_Channel">
         <obj_property name="ElementShortName">RX_Channel[7:0]</obj_property>
         <obj_property name="ObjectShortName">RX_Channel[7:0]</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/RX_Datavalid">
         <obj_property name="ElementShortName">RX_Datavalid</obj_property>
         <obj_property name="ObjectShortName">RX_Datavalid</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/CRC24_Error">
         <obj_property name="ElementShortName">CRC24_Error</obj_property>
         <obj_property name="ObjectShortName">CRC24_Error</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/CRC32_Error">
         <obj_property name="ElementShortName">CRC32_Error</obj_property>
         <obj_property name="ObjectShortName">CRC32_Error</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Decoder_lock">
         <obj_property name="ElementShortName">Decoder_lock</obj_property>
         <obj_property name="ObjectShortName">Decoder_lock</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Descrambler_lock">
         <obj_property name="ElementShortName">Descrambler_lock</obj_property>
         <obj_property name="ObjectShortName">Descrambler_lock</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/FIFO_Full">
         <obj_property name="ElementShortName">FIFO_Full</obj_property>
         <obj_property name="ObjectShortName">FIFO_Full</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/FIFO_empty">
         <obj_property name="ElementShortName">FIFO_empty</obj_property>
         <obj_property name="ObjectShortName">FIFO_empty</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/RX_Link_Up">
         <obj_property name="ElementShortName">RX_Link_Up</obj_property>
         <obj_property name="ObjectShortName">RX_Link_Up</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Bitslip">
         <obj_property name="ElementShortName">Bitslip</obj_property>
         <obj_property name="ObjectShortName">Bitslip</obj_property>
      </wvobject>
      <wvobject type="other" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/pres_state">
         <obj_property name="ElementShortName">pres_state</obj_property>
         <obj_property name="ObjectShortName">pres_state</obj_property>
      </wvobject>
      <wvobject type="other" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/next_state">
         <obj_property name="ElementShortName">next_state</obj_property>
         <obj_property name="ObjectShortName">next_state</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/RX_FIFO_Data">
         <obj_property name="ElementShortName">RX_FIFO_Data[65:0]</obj_property>
         <obj_property name="ObjectShortName">RX_FIFO_Data[65:0]</obj_property>
         <obj_property name="CustomSignalColor">#808000</obj_property>
         <obj_property name="UseCustomSignalColor">true</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/RX_FIFO_Write">
         <obj_property name="ElementShortName">RX_FIFO_Write</obj_property>
         <obj_property name="ObjectShortName">RX_FIFO_Write</obj_property>
         <obj_property name="CustomSignalColor">#808000</obj_property>
         <obj_property name="UseCustomSignalColor">true</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/FIFO_Read_Count">
         <obj_property name="ElementShortName">FIFO_Read_Count[5:0]</obj_property>
         <obj_property name="ObjectShortName">FIFO_Read_Count[5:0]</obj_property>
         <obj_property name="CustomSignalColor">#808000</obj_property>
         <obj_property name="UseCustomSignalColor">true</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/FIFO_Write_Count">
         <obj_property name="ElementShortName">FIFO_Write_Count[5:0]</obj_property>
         <obj_property name="ObjectShortName">FIFO_Write_Count[5:0]</obj_property>
         <obj_property name="CustomSignalColor">#808000</obj_property>
         <obj_property name="UseCustomSignalColor">true</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/FIFO_prog_full">
         <obj_property name="ElementShortName">FIFO_prog_full</obj_property>
         <obj_property name="ObjectShortName">FIFO_prog_full</obj_property>
         <obj_property name="CustomSignalColor">#808000</obj_property>
         <obj_property name="UseCustomSignalColor">true</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/FIFO_prog_empty">
         <obj_property name="ElementShortName">FIFO_prog_empty</obj_property>
         <obj_property name="ObjectShortName">FIFO_prog_empty</obj_property>
         <obj_property name="CustomSignalColor">#808000</obj_property>
         <obj_property name="UseCustomSignalColor">true</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/FIFO_Data_Out">
         <obj_property name="ElementShortName">FIFO_Data_Out[65:0]</obj_property>
         <obj_property name="ObjectShortName">FIFO_Data_Out[65:0]</obj_property>
         <obj_property name="CustomSignalColor">#808000</obj_property>
         <obj_property name="UseCustomSignalColor">true</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Data_Burst_Out">
         <obj_property name="ElementShortName">Data_Burst_Out[65:0]</obj_property>
         <obj_property name="ObjectShortName">Data_Burst_Out[65:0]</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Data_valid_Burst_Out">
         <obj_property name="ElementShortName">Data_valid_Burst_Out</obj_property>
         <obj_property name="ObjectShortName">Data_valid_Burst_Out</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Flowcontrol">
         <obj_property name="ElementShortName">Flowcontrol[15:0]</obj_property>
         <obj_property name="ObjectShortName">Flowcontrol[15:0]</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Data_Descrambler_Out">
         <obj_property name="ElementShortName">Data_Descrambler_Out[63:0]</obj_property>
         <obj_property name="ObjectShortName">Data_Descrambler_Out[63:0]</obj_property>
         <obj_property name="CustomSignalColor">#FFD700</obj_property>
         <obj_property name="UseCustomSignalColor">true</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Data_valid_Descrambler_out">
         <obj_property name="ElementShortName">Data_valid_Descrambler_out</obj_property>
         <obj_property name="ObjectShortName">Data_valid_Descrambler_out</obj_property>
         <obj_property name="CustomSignalColor">#FFD700</obj_property>
         <obj_property name="UseCustomSignalColor">true</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Data_Control_Descrambler_Out">
         <obj_property name="ElementShortName">Data_Control_Descrambler_Out</obj_property>
         <obj_property name="ObjectShortName">Data_Control_Descrambler_Out</obj_property>
         <obj_property name="CustomSignalColor">#FFD700</obj_property>
         <obj_property name="UseCustomSignalColor">true</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Data_Meta_Out">
         <obj_property name="ElementShortName">Data_Meta_Out[63:0]</obj_property>
         <obj_property name="ObjectShortName">Data_Meta_Out[63:0]</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Data_valid_Meta_out">
         <obj_property name="ElementShortName">Data_valid_Meta_out</obj_property>
         <obj_property name="ObjectShortName">Data_valid_Meta_out</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Data_control_Meta_out">
         <obj_property name="ElementShortName">Data_control_Meta_out</obj_property>
         <obj_property name="ObjectShortName">Data_control_Meta_out</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Data_Decoder_Out">
         <obj_property name="ElementShortName">Data_Decoder_Out[63:0]</obj_property>
         <obj_property name="ObjectShortName">Data_Decoder_Out[63:0]</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Data_Control_Decoder_Out">
         <obj_property name="ElementShortName">Data_Control_Decoder_Out</obj_property>
         <obj_property name="ObjectShortName">Data_Control_Decoder_Out</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Data_valid_decoder_out">
         <obj_property name="ElementShortName">Data_valid_decoder_out</obj_property>
         <obj_property name="ObjectShortName">Data_valid_decoder_out</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Lane_Number">
         <obj_property name="ElementShortName">Lane_Number[3:0]</obj_property>
         <obj_property name="ObjectShortName">Lane_Number[3:0]</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Error_BadSync">
         <obj_property name="ElementShortName">Error_BadSync</obj_property>
         <obj_property name="ObjectShortName">Error_BadSync</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Error_StateMismatch">
         <obj_property name="ElementShortName">Error_StateMismatch</obj_property>
         <obj_property name="ObjectShortName">Error_StateMismatch</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Error_NoSync">
         <obj_property name="ElementShortName">Error_NoSync</obj_property>
         <obj_property name="ObjectShortName">Error_NoSync</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Error_Decoder_Sync">
         <obj_property name="ElementShortName">Error_Decoder_Sync</obj_property>
         <obj_property name="ObjectShortName">Error_Decoder_Sync</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Descrambler_In_lock">
         <obj_property name="ElementShortName">Descrambler_In_lock</obj_property>
         <obj_property name="ObjectShortName">Descrambler_In_lock</obj_property>
      </wvobject>
      <wvobject type="other" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/PacketLength">
         <obj_property name="ElementShortName">PacketLength</obj_property>
         <obj_property name="ObjectShortName">PacketLength</obj_property>
      </wvobject>
   </wvobject>
   <wvobject type="group" fp_name="group658">
      <obj_property name="label">Decoder</obj_property>
      <obj_property name="DisplayName">label</obj_property>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Decoder/Clk">
         <obj_property name="ElementShortName">Clk</obj_property>
         <obj_property name="ObjectShortName">Clk</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Decoder/Reset">
         <obj_property name="ElementShortName">Reset</obj_property>
         <obj_property name="ObjectShortName">Reset</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Decoder/Data_In">
         <obj_property name="ElementShortName">Data_In[66:0]</obj_property>
         <obj_property name="ObjectShortName">Data_In[66:0]</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Decoder/Decoder_En">
         <obj_property name="ElementShortName">Decoder_En</obj_property>
         <obj_property name="ObjectShortName">Decoder_En</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Decoder/Data_Valid_In">
         <obj_property name="ElementShortName">Data_Valid_In</obj_property>
         <obj_property name="ObjectShortName">Data_Valid_In</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Decoder/Data_Valid_Out">
         <obj_property name="ElementShortName">Data_Valid_Out</obj_property>
         <obj_property name="ObjectShortName">Data_Valid_Out</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Decoder/Data_Out">
         <obj_property name="ElementShortName">Data_Out[63:0]</obj_property>
         <obj_property name="ObjectShortName">Data_Out[63:0]</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Decoder/Data_Control">
         <obj_property name="ElementShortName">Data_Control</obj_property>
         <obj_property name="ObjectShortName">Data_Control</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Decoder/Sync_Locked">
         <obj_property name="ElementShortName">Sync_Locked</obj_property>
         <obj_property name="ObjectShortName">Sync_Locked</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Decoder/Sync_Error">
         <obj_property name="ElementShortName">Sync_Error</obj_property>
         <obj_property name="ObjectShortName">Sync_Error</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Decoder/Bitslip">
         <obj_property name="ElementShortName">Bitslip</obj_property>
         <obj_property name="ObjectShortName">Bitslip</obj_property>
      </wvobject>
      <wvobject type="other" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Decoder/pres_state">
         <obj_property name="ElementShortName">pres_state</obj_property>
         <obj_property name="ObjectShortName">pres_state</obj_property>
      </wvobject>
      <wvobject type="other" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Decoder/next_state">
         <obj_property name="ElementShortName">next_state</obj_property>
         <obj_property name="ObjectShortName">next_state</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Decoder/Data_T1">
         <obj_property name="ElementShortName">Data_T1[66:0]</obj_property>
         <obj_property name="ObjectShortName">Data_T1[66:0]</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Decoder/Data_T2">
         <obj_property name="ElementShortName">Data_T2[66:0]</obj_property>
         <obj_property name="ObjectShortName">Data_T2[66:0]</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Decoder/Data_T3">
         <obj_property name="ElementShortName">Data_T3[66:0]</obj_property>
         <obj_property name="ObjectShortName">Data_T3[66:0]</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Decoder/Data_Valid_P1">
         <obj_property name="ElementShortName">Data_Valid_P1</obj_property>
         <obj_property name="ObjectShortName">Data_Valid_P1</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Decoder/Data_Valid_P2">
         <obj_property name="ElementShortName">Data_Valid_P2</obj_property>
         <obj_property name="ObjectShortName">Data_Valid_P2</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Decoder/Data_Valid_P3">
         <obj_property name="ElementShortName">Data_Valid_P3</obj_property>
         <obj_property name="ObjectShortName">Data_Valid_P3</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Decoder/Data_P1">
         <obj_property name="ElementShortName">Data_P1[66:0]</obj_property>
         <obj_property name="ObjectShortName">Data_P1[66:0]</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Decoder/Data_P2">
         <obj_property name="ElementShortName">Data_P2[66:0]</obj_property>
         <obj_property name="ObjectShortName">Data_P2[66:0]</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Decoder/Data_P3">
         <obj_property name="ElementShortName">Data_P3[66:0]</obj_property>
         <obj_property name="ObjectShortName">Data_P3[66:0]</obj_property>
      </wvobject>
      <wvobject type="other" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Decoder/Sync_Transition_Location">
         <obj_property name="ElementShortName">Sync_Transition_Location</obj_property>
         <obj_property name="ObjectShortName">Sync_Transition_Location</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Decoder/Sync_Search">
         <obj_property name="ElementShortName">Sync_Search</obj_property>
         <obj_property name="ObjectShortName">Sync_Search</obj_property>
      </wvobject>
      <wvobject type="other" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Decoder/Sync_Counter">
         <obj_property name="ElementShortName">Sync_Counter</obj_property>
         <obj_property name="ObjectShortName">Sync_Counter</obj_property>
      </wvobject>
      <wvobject type="other" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Decoder/Word_Counter">
         <obj_property name="ElementShortName">Word_Counter</obj_property>
         <obj_property name="ObjectShortName">Word_Counter</obj_property>
      </wvobject>
      <wvobject type="other" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Decoder/Sync_Error_Counter">
         <obj_property name="ElementShortName">Sync_Error_Counter</obj_property>
         <obj_property name="ObjectShortName">Sync_Error_Counter</obj_property>
      </wvobject>
      <wvobject type="other" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Decoder/Trans_result">
         <obj_property name="ElementShortName">Trans_result</obj_property>
         <obj_property name="ObjectShortName">Trans_result</obj_property>
      </wvobject>
   </wvobject>
   <wvobject type="group" fp_name="group453">
      <obj_property name="label">Descrambler</obj_property>
      <obj_property name="DisplayName">label</obj_property>
      <obj_property name="isExpanded"></obj_property>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Descrambler/Clk">
         <obj_property name="ElementShortName">Clk</obj_property>
         <obj_property name="ObjectShortName">Clk</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Descrambler/Reset">
         <obj_property name="ElementShortName">Reset</obj_property>
         <obj_property name="ObjectShortName">Reset</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Descrambler/Data_In">
         <obj_property name="ElementShortName">Data_In[63:0]</obj_property>
         <obj_property name="ObjectShortName">Data_In[63:0]</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Descrambler/Data_Valid_In">
         <obj_property name="ElementShortName">Data_Valid_In</obj_property>
         <obj_property name="ObjectShortName">Data_Valid_In</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Descrambler/Data_Control_In">
         <obj_property name="ElementShortName">Data_Control_In</obj_property>
         <obj_property name="ObjectShortName">Data_Control_In</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Descrambler/Data_Out">
         <obj_property name="ElementShortName">Data_Out[63:0]</obj_property>
         <obj_property name="ObjectShortName">Data_Out[63:0]</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Descrambler/Data_Valid_Out">
         <obj_property name="ElementShortName">Data_Valid_Out</obj_property>
         <obj_property name="ObjectShortName">Data_Valid_Out</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Descrambler/Data_Control_Out">
         <obj_property name="ElementShortName">Data_Control_Out</obj_property>
         <obj_property name="ObjectShortName">Data_Control_Out</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Descrambler/Lane_Number">
         <obj_property name="ElementShortName">Lane_Number[3:0]</obj_property>
         <obj_property name="ObjectShortName">Lane_Number[3:0]</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Descrambler/Lock">
         <obj_property name="ElementShortName">Lock</obj_property>
         <obj_property name="ObjectShortName">Lock</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Descrambler/Error_BadSync">
         <obj_property name="ElementShortName">Error_BadSync</obj_property>
         <obj_property name="ObjectShortName">Error_BadSync</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Descrambler/Error_StateMismatch">
         <obj_property name="ElementShortName">Error_StateMismatch</obj_property>
         <obj_property name="ObjectShortName">Error_StateMismatch</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Descrambler/Error_NoSync">
         <obj_property name="ElementShortName">Error_NoSync</obj_property>
         <obj_property name="ObjectShortName">Error_NoSync</obj_property>
      </wvobject>
      <wvobject type="other" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Descrambler/pres_state">
         <obj_property name="ElementShortName">pres_state</obj_property>
         <obj_property name="ObjectShortName">pres_state</obj_property>
      </wvobject>
      <wvobject type="other" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Descrambler/next_state">
         <obj_property name="ElementShortName">next_state</obj_property>
         <obj_property name="ObjectShortName">next_state</obj_property>
      </wvobject>
      <wvobject type="other" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Descrambler/MetaCounter">
         <obj_property name="ElementShortName">MetaCounter</obj_property>
         <obj_property name="ObjectShortName">MetaCounter</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Descrambler/Sync_Word_Detected">
         <obj_property name="ElementShortName">Sync_Word_Detected</obj_property>
         <obj_property name="ObjectShortName">Sync_Word_Detected</obj_property>
      </wvobject>
      <wvobject type="other" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Descrambler/Sync_Words">
         <obj_property name="ElementShortName">Sync_Words</obj_property>
         <obj_property name="ObjectShortName">Sync_Words</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Descrambler/Data_Valid_P1">
         <obj_property name="ElementShortName">Data_Valid_P1</obj_property>
         <obj_property name="ObjectShortName">Data_Valid_P1</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Descrambler/Data_Valid_P2">
         <obj_property name="ElementShortName">Data_Valid_P2</obj_property>
         <obj_property name="ObjectShortName">Data_Valid_P2</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Descrambler/Data_Valid">
         <obj_property name="ElementShortName">Data_Valid</obj_property>
         <obj_property name="ObjectShortName">Data_Valid</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Descrambler/Data_Control_P1">
         <obj_property name="ElementShortName">Data_Control_P1</obj_property>
         <obj_property name="ObjectShortName">Data_Control_P1</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Descrambler/Data_Control_P2">
         <obj_property name="ElementShortName">Data_Control_P2</obj_property>
         <obj_property name="ObjectShortName">Data_Control_P2</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Descrambler/Data_Control">
         <obj_property name="ElementShortName">Data_Control</obj_property>
         <obj_property name="ObjectShortName">Data_Control</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Descrambler/Data_P1">
         <obj_property name="ElementShortName">Data_P1[63:0]</obj_property>
         <obj_property name="ObjectShortName">Data_P1[63:0]</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Descrambler/Data_Descrambled">
         <obj_property name="ElementShortName">Data_Descrambled[63:0]</obj_property>
         <obj_property name="ObjectShortName">Data_Descrambled[63:0]</obj_property>
      </wvobject>
      <wvobject type="other" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Descrambler/Scrambler_State_Mismatch">
         <obj_property name="ElementShortName">Scrambler_State_Mismatch</obj_property>
         <obj_property name="ObjectShortName">Scrambler_State_Mismatch</obj_property>
      </wvobject>
      <wvobject type="other" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Descrambler/Sync_Word_Mismatch">
         <obj_property name="ElementShortName">Sync_Word_Mismatch</obj_property>
         <obj_property name="ObjectShortName">Sync_Word_Mismatch</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Descrambler/Poly">
         <obj_property name="ElementShortName">Poly[57:0]</obj_property>
         <obj_property name="ObjectShortName">Poly[57:0]</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Descrambler/Shiftreg">
         <obj_property name="ElementShortName">Shiftreg[63:0]</obj_property>
         <obj_property name="ObjectShortName">Shiftreg[63:0]</obj_property>
      </wvobject>
      <wvobject type="other" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Descrambler/PacketLength">
         <obj_property name="ElementShortName">PacketLength</obj_property>
         <obj_property name="ObjectShortName">PacketLength</obj_property>
      </wvobject>
   </wvobject>
   <wvobject type="group" fp_name="group600">
      <obj_property name="label">Deframing_Meta</obj_property>
      <obj_property name="DisplayName">label</obj_property>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Deframing_Meta/Clk">
         <obj_property name="ElementShortName">Clk</obj_property>
         <obj_property name="ObjectShortName">Clk</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Deframing_Meta/Reset">
         <obj_property name="ElementShortName">Reset</obj_property>
         <obj_property name="ObjectShortName">Reset</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Deframing_Meta/Deframer_En">
         <obj_property name="ElementShortName">Deframer_En</obj_property>
         <obj_property name="ObjectShortName">Deframer_En</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Deframing_Meta/Data_In">
         <obj_property name="ElementShortName">Data_In[63:0]</obj_property>
         <obj_property name="ObjectShortName">Data_In[63:0]</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Deframing_Meta/Data_Out">
         <obj_property name="ElementShortName">Data_Out[63:0]</obj_property>
         <obj_property name="ObjectShortName">Data_Out[63:0]</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Deframing_Meta/Data_Control_In">
         <obj_property name="ElementShortName">Data_Control_In</obj_property>
         <obj_property name="ObjectShortName">Data_Control_In</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Deframing_Meta/Data_Control_Out">
         <obj_property name="ElementShortName">Data_Control_Out</obj_property>
         <obj_property name="ObjectShortName">Data_Control_Out</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Deframing_Meta/CRC32_Error">
         <obj_property name="ElementShortName">CRC32_Error</obj_property>
         <obj_property name="ObjectShortName">CRC32_Error</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Deframing_Meta/Data_Valid_In">
         <obj_property name="ElementShortName">Data_Valid_In</obj_property>
         <obj_property name="ObjectShortName">Data_Valid_In</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Deframing_Meta/Data_Valid_Out">
         <obj_property name="ElementShortName">Data_Valid_Out</obj_property>
         <obj_property name="ObjectShortName">Data_Valid_Out</obj_property>
      </wvobject>
      <wvobject type="other" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Deframing_Meta/pres_state">
         <obj_property name="ElementShortName">pres_state</obj_property>
         <obj_property name="ObjectShortName">pres_state</obj_property>
      </wvobject>
      <wvobject type="other" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Deframing_Meta/next_state">
         <obj_property name="ElementShortName">next_state</obj_property>
         <obj_property name="ObjectShortName">next_state</obj_property>
      </wvobject>
      <wvobject type="other" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Deframing_Meta/Packet_Counter">
         <obj_property name="ElementShortName">Packet_Counter</obj_property>
         <obj_property name="ObjectShortName">Packet_Counter</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Deframing_Meta/Data_P1">
         <obj_property name="ElementShortName">Data_P1[63:0]</obj_property>
         <obj_property name="ObjectShortName">Data_P1[63:0]</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Deframing_Meta/Data_P2">
         <obj_property name="ElementShortName">Data_P2[63:0]</obj_property>
         <obj_property name="ObjectShortName">Data_P2[63:0]</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Deframing_Meta/Data_P3">
         <obj_property name="ElementShortName">Data_P3[63:0]</obj_property>
         <obj_property name="ObjectShortName">Data_P3[63:0]</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Deframing_Meta/Diagnostic_Error">
         <obj_property name="ElementShortName">Diagnostic_Error</obj_property>
         <obj_property name="ObjectShortName">Diagnostic_Error</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Deframing_Meta/CRC32_Value">
         <obj_property name="ElementShortName">CRC32_Value[31:0]</obj_property>
         <obj_property name="ObjectShortName">CRC32_Value[31:0]</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Deframing_Meta/HealthLane">
         <obj_property name="ElementShortName">HealthLane</obj_property>
         <obj_property name="ObjectShortName">HealthLane</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Deframing_Meta/HealthInterface">
         <obj_property name="ElementShortName">HealthInterface</obj_property>
         <obj_property name="ObjectShortName">HealthInterface</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Deframing_Meta/CRC32_In">
         <obj_property name="ElementShortName">CRC32_In[63:0]</obj_property>
         <obj_property name="ObjectShortName">CRC32_In[63:0]</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Deframing_Meta/CRC32_Out">
         <obj_property name="ElementShortName">CRC32_Out[31:0]</obj_property>
         <obj_property name="ObjectShortName">CRC32_Out[31:0]</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Deframing_Meta/CRC32_En">
         <obj_property name="ElementShortName">CRC32_En</obj_property>
         <obj_property name="ObjectShortName">CRC32_En</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Deframing_Meta/CRC32_Rst">
         <obj_property name="ElementShortName">CRC32_Rst</obj_property>
         <obj_property name="ObjectShortName">CRC32_Rst</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Deframing_Meta/CrcCalc">
         <obj_property name="ElementShortName">CrcCalc</obj_property>
         <obj_property name="ObjectShortName">CrcCalc</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Deframing_Meta/CRC32_Check1">
         <obj_property name="ElementShortName">CRC32_Check1</obj_property>
         <obj_property name="ObjectShortName">CRC32_Check1</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Deframing_Meta/CRC32_Check2">
         <obj_property name="ElementShortName">CRC32_Check2</obj_property>
         <obj_property name="ObjectShortName">CRC32_Check2</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Deframing_Meta/CRC32_Good">
         <obj_property name="ElementShortName">CRC32_Good</obj_property>
         <obj_property name="ObjectShortName">CRC32_Good</obj_property>
      </wvobject>
   </wvobject>
   <wvobject type="group" fp_name="group628">
      <obj_property name="label">Deframing_Burst</obj_property>
      <obj_property name="DisplayName">label</obj_property>
      <obj_property name="isExpanded"></obj_property>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Deframing_Burst/Clk">
         <obj_property name="ElementShortName">Clk</obj_property>
         <obj_property name="ObjectShortName">Clk</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Deframing_Burst/Reset">
         <obj_property name="ElementShortName">Reset</obj_property>
         <obj_property name="ObjectShortName">Reset</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Deframing_Burst/Deburst_En">
         <obj_property name="ElementShortName">Deburst_En</obj_property>
         <obj_property name="ObjectShortName">Deburst_En</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Deframing_Burst/Data_In">
         <obj_property name="ElementShortName">Data_In[63:0]</obj_property>
         <obj_property name="ObjectShortName">Data_In[63:0]</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Deframing_Burst/Data_Control_In">
         <obj_property name="ElementShortName">Data_Control_In</obj_property>
         <obj_property name="ObjectShortName">Data_Control_In</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Deframing_Burst/Data_Valid_In">
         <obj_property name="ElementShortName">Data_Valid_In</obj_property>
         <obj_property name="ObjectShortName">Data_Valid_In</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Deframing_Burst/Data_Out">
         <obj_property name="ElementShortName">Data_Out[65:0]</obj_property>
         <obj_property name="ObjectShortName">Data_Out[65:0]</obj_property>
         <obj_property name="CustomSignalColor">#FFD700</obj_property>
         <obj_property name="UseCustomSignalColor">true</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Deframing_Burst/Data_Valid_Out">
         <obj_property name="ElementShortName">Data_Valid_Out</obj_property>
         <obj_property name="ObjectShortName">Data_Valid_Out</obj_property>
         <obj_property name="CustomSignalColor">#FFD700</obj_property>
         <obj_property name="UseCustomSignalColor">true</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Deframing_Burst/CRC24_Error">
         <obj_property name="ElementShortName">CRC24_Error</obj_property>
         <obj_property name="ObjectShortName">CRC24_Error</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Deframing_Burst/Flowcontrol">
         <obj_property name="ElementShortName">Flowcontrol[15:0]</obj_property>
         <obj_property name="ObjectShortName">Flowcontrol[15:0]</obj_property>
      </wvobject>
      <wvobject type="other" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Deframing_Burst/pres_state">
         <obj_property name="ElementShortName">pres_state</obj_property>
         <obj_property name="ObjectShortName">pres_state</obj_property>
      </wvobject>
      <wvobject type="other" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Deframing_Burst/next_state">
         <obj_property name="ElementShortName">next_state</obj_property>
         <obj_property name="ObjectShortName">next_state</obj_property>
      </wvobject>
      <wvobject type="other" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Deframing_Burst/Packet_Counter">
         <obj_property name="ElementShortName">Packet_Counter</obj_property>
         <obj_property name="ObjectShortName">Packet_Counter</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Deframing_Burst/Data_P1">
         <obj_property name="ElementShortName">Data_P1[65:0]</obj_property>
         <obj_property name="ObjectShortName">Data_P1[65:0]</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Deframing_Burst/Data_P2">
         <obj_property name="ElementShortName">Data_P2[65:0]</obj_property>
         <obj_property name="ObjectShortName">Data_P2[65:0]</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Deframing_Burst/Data_P3">
         <obj_property name="ElementShortName">Data_P3[65:0]</obj_property>
         <obj_property name="ObjectShortName">Data_P3[65:0]</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Deframing_Burst/Data_Temp">
         <obj_property name="ElementShortName">Data_Temp[65:0]</obj_property>
         <obj_property name="ObjectShortName">Data_Temp[65:0]</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Deframing_Burst/CRC24_Value">
         <obj_property name="ElementShortName">CRC24_Value[31:0]</obj_property>
         <obj_property name="ObjectShortName">CRC24_Value[31:0]</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Deframing_Burst/CRC24_Value_P1">
         <obj_property name="ElementShortName">CRC24_Value_P1[31:0]</obj_property>
         <obj_property name="ObjectShortName">CRC24_Value_P1[31:0]</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Deframing_Burst/SOP">
         <obj_property name="ElementShortName">SOP</obj_property>
         <obj_property name="ObjectShortName">SOP</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Deframing_Burst/EOP">
         <obj_property name="ElementShortName">EOP</obj_property>
         <obj_property name="ObjectShortName">EOP</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Deframing_Burst/EOP_Valid">
         <obj_property name="ElementShortName">EOP_Valid[2:0]</obj_property>
         <obj_property name="ObjectShortName">EOP_Valid[2:0]</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Deframing_Burst/Channel">
         <obj_property name="ElementShortName">Channel[7:0]</obj_property>
         <obj_property name="ObjectShortName">Channel[7:0]</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Deframing_Burst/CRC24_In">
         <obj_property name="ElementShortName">CRC24_In[63:0]</obj_property>
         <obj_property name="ObjectShortName">CRC24_In[63:0]</obj_property>
      </wvobject>
      <wvobject type="array" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Deframing_Burst/CRC24_Out">
         <obj_property name="ElementShortName">CRC24_Out[31:0]</obj_property>
         <obj_property name="ObjectShortName">CRC24_Out[31:0]</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Deframing_Burst/CRC24_En">
         <obj_property name="ElementShortName">CRC24_En</obj_property>
         <obj_property name="ObjectShortName">CRC24_En</obj_property>
      </wvobject>
      <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/interface/Interlaken_RX/Deframing_Burst/CRC24_Rst">
         <obj_property name="ElementShortName">CRC24_Rst</obj_property>
         <obj_property name="ObjectShortName">CRC24_Rst</obj_property>
      </wvobject>
      <wvobject type="group" fp_name="group657">
         <obj_property name="label">ILA</obj_property>
         <obj_property name="DisplayName">label</obj_property>
         <obj_property name="isExpanded"></obj_property>
         <wvobject type="logic" fp_name="/testbench_Interface_Test/uut/probe_data/clk">
            <obj_property name="ElementShortName">clk</obj_property>
            <obj_property name="ObjectShortName">clk</obj_property>
         </wvobject>
         <wvobject type="array" fp_name="/testbench_Interface_Test/uut/probe_data/probe0">
            <obj_property name="ElementShortName">probe0[63:0]</obj_property>
            <obj_property name="ObjectShortName">probe0[63:0]</obj_property>
         </wvobject>
         <wvobject type="array" fp_name="/testbench_Interface_Test/uut/probe_data/probe1">
            <obj_property name="ElementShortName">probe1[4:0]</obj_property>
            <obj_property name="ObjectShortName">probe1[4:0]</obj_property>
         </wvobject>
         <wvobject type="array" fp_name="/testbench_Interface_Test/uut/probe_data/probe2">
            <obj_property name="ElementShortName">probe2[63:0]</obj_property>
            <obj_property name="ObjectShortName">probe2[63:0]</obj_property>
         </wvobject>
         <wvobject type="array" fp_name="/testbench_Interface_Test/uut/probe_data/probe3">
            <obj_property name="ElementShortName">probe3[4:0]</obj_property>
            <obj_property name="ObjectShortName">probe3[4:0]</obj_property>
         </wvobject>
      </wvobject>
   </wvobject>
</wave_config>

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.