OpenCores
URL https://opencores.org/ocsvn/core1990_interlaken/core1990_interlaken/trunk

Subversion Repositories core1990_interlaken

[/] [core1990_interlaken/] [trunk/] [gateware/] [sources/] [interlaken_pkg.vhd] - Rev 11

Compare with Previous | Blame | View Log

library ieee;
use ieee.numeric_std.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_1164.all;
 
package interlaken_pkg is
--
-- Interlaken
--
  -- Bitfields of Interlaken
  type bitfield_interlaken_control_status_r_type is record
    DECODER_LOCK                   : std_logic_vector(1 downto 1);    -- Decoder lock indication
    DESCRAMBLER_LOCK               : std_logic_vector(0 downto 0);    -- Descrambler lock indication
  end record;
 
  type bitfield_transceiver_r_type is record
    TX_FAULT                       : std_logic_vector(7 downto 4);    -- SFP transceiver TX fault indication
    RX_LOS                         : std_logic_vector(3 downto 0);    -- Loss of signal indication
  end record;
 
 
  -- Interlaken
  type interlaken_monitor_type is record
    INTERLAKEN_CONTROL_STATUS      : bitfield_interlaken_control_status_r_type;
    TRANSCEIVER                    : bitfield_transceiver_r_type;  
  end record;
 
 
end package interlaken_pkg ;
 
package body interlaken_pkg is
 
end interlaken_pkg;

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.