OpenCores
URL https://opencores.org/ocsvn/cpu8080/cpu8080/trunk

Subversion Repositories cpu8080

[/] [cpu8080/] [trunk/] [project/] [cpu8080.ise] - Rev 33

Compare with Previous | Blame | View Log

PK

__OBJSTORE__/PK
 __OBJSTORE__/_ProjRepoInternal_/PK
!__OBJSTORE__/ProjectNavigatorGui/PK





 !"#$%&%%%%&PK
ement Design/Place & Route/MPPR Results UtilitiesImplement Design/Synthesize - XSTImplement Design/TranslateImplement Design/Translate/Generate Post-Translate Simulation ModelSynthesize - XSTSynthesize - XST/Generate Post-Synthesis Simulation ModelDesign Utilities/Compile HDL Simulation LibrariesDESUT_TBWXilinx ISE SimulatorPK
__OBJSTORE__/xreport/PK

 !"#$%&'()*+,-./0123456789:;<=>,?@AB*CDE*FGHIJKLMNOPQRSTUPK
label="Partition Report" >   <view program="map" type="IOBProperties" inputState="Translated" file="cpu8080_map.mrp" label="IOB Properties" />   <view program="par" type="ConstraintsData" inputState="Mapped" file="cpu8080.par" label="Timing Constraints" />   <view program="par" type="PinoutData" inputState="Mapped" file="cpu8080.pad" label="Pinout Report" />   <view program="par" type="ClocksData" inputState="Mapped" file="cpu8080.par" label="Clock Report" />  </viewgroup>  <viewgroup label="Errors and Warnings" >   <view program="xst" type="MessageList" file="_xmsgs/xst.xmsgs" label="Synthesis Messages" hideColumns="Filtered" />   <view program="ngdbuild" type="MessageList" inputState="Synthesized" file="_xmsgs/ngdbuild.xmsgs" label="Translation Messages" hideColumns="Filtered" />   <view program="map" type="MessageList" inputState="Translated" file="_xmsgs/map.xmsgs" label="Map Messages" hideColumns="Filtered" />   <view program="par" type="MessageList" inputState="Mapped" file="_xmsgs/par.xmsgs" label="Place and Route Messages" hideColumns="Filtered" />   <view program="trce" type="MessageList" inputState="Routed" file="_xmsgs/trce.xmsgs" label="Timing Messages" hideColumns="Filtered" />   <view program="bitgen" type="MessageList" inputState="Routed" file="_xmsgs/bitgen.xmsgs" label="Bitgen Messages" hideColumns="Filtered" />   <view fileList="_xmsgs/xst.xmsgs,_xmsgs/ngdbuild.xmsgs,_xmsgs/map.xmsgs,_xmsgs/par.xmsgs,_xmsgs/trce.xmsgs,_xmsgs/bitgen.xmsgs" program="implementation" type="MessageList" inputState="Current" file="_xmsgs/*.xmsgs" label="All Current Messages" hideColumns="Filtered" />  <viewgroup label="Detailed Reports" >   <view program="xst" type="Report" file="cpu8080.syr" label="Synthesis Report   " >    <toc-item title="Synthesis Options Summary" target="   Synthesis Options Summary   " />    <toc-item title="HDL Compilation" target="   HDL Compilation   " />    <toc-item title="HDL Analysis" target="   HDL Analysis   " />    <toc-item title="HDL Synthesis" target="   HDL Synthesis   " />    <toc-item title="Advanced HDL Synthesis" target="   Advanced HDL Synthesis   " />    <toc-item title="Low Level Synthesis" target="   Low Level Synthesis   " />    <toc-item title="Partition Report" target="   Partition Report     " />    <toc-item title="Final Report" target="   Final Report   " />   <view program="ngdbuild" type="Report" inputState="Synthesized" file="cpu8080.bld" label="Translation Report" >    <toc-item title="Top of Report" target="Release" />    <toc-item title="Command Line" target="Command Line:" />    <toc-item title="Partition Status" target="Partition Implementation Status" />    <toc-item title="Final Summary" target="NGDBUILD Design Results Summary:" />   <view program="map" type="Report" inputState="Translated" file="cpu8080_map.mrp" label="Map Report" >    <toc-item title="Section 1: Errors" target="Section 1 - " />    <toc-item title="Section 2: Warnings" target="Section 2 - " />    <toc-item title="Section 3: Infos" target="Section 3 - " />    <toc-item title="Section 4: Removed Logic Summary" target="Section 4 - " />    <toc-item title="Section 5: Removed Logic" target="Section 5 - " />    <toc-item title="Section 6: IOB Properties" target="Section 6 - " />    <toc-item title="Section 7: RPMs" target="Section 7 - " />    <toc-item title="Section 8: Guide Report" target="Section 8 - " />    <toc-item title="Section 9: Area Group and Partition Summary" target="Section 9 - " />    <toc-item title="Section 10: Modular Design Summary" target="Section 10 - " />    <toc-item title="Section 11: Timing Report" target="Section 11 - " />    <toc-item title="Section 12: Configuration String Information" target="Section 12 - " />   <view program="par" type="Report" inputState="Mapped" file="cpu8080.par" label="Place and Route Report" >    <toc-item title="Device Utilization" target="Device Utilization Summary:" />    <toc-item title="Placer Information" target="Starting Placer" />    <toc-item title="Router Information" target="Starting Router" />    <toc-item title="Clock Report" target="Generating Clock Report" />    <toc-item title="Timing Results" target="Timing Score:" />    <toc-item title="Final Summary" target="Peak Memory Usage:" />   <view program="trce" type="Report" inputState="Routed" file="cpu8080.twr" label="Static Timing Report" >    <toc-item title="Data Sheet Report" target="Data Sheet" />    <toc-item title="Timing Summary" target="Timing summary:" />   <view program="bitgen" type="Report" inputState="Routed" file="cpu8080.bgn" label="Bitgen Report" >    <toc-item title="Bitgen Options" target="Summary of Bitgen Options:" />    <toc-item title="Final Summary" target="DRC detected" />  <viewgroup label="Secondary Reports" >   <view program="xplorer" type="Secondary_Report" inputState="Routed" file="cpu8080_xplorer.rpt" label="Xplorer Report" />   <view hidden="true" program="netgen" type="Secondary_Report" inputState="Translated" file="netgen/translate/cpu8080_translate.nlf" label="Post-Translate Simulation Model Report" />   <view hidden="true" program="trce" type="Secondary_Report" inputState="Mapped" file="cpu8080_preroute.twr" label="Post-Map Static Timing Report" />   <view hidden="true" program="netgen" type="Secondary_Report" inputState="Mapped" file="netgen/map/cpu8080_map.nlf" label="Post-Map Simulation Model Report" />   <view hidden="true" program="par" type="Pad_Report" inputState="Mapped" file="cpu8080_pad.txt" label="Pad Report" />   <view hidden="true" program="par" type="Secondary_Report" inputState="Mapped" file="cpu8080.unroutes" label="Unroutes Report" />   <view hidden="true" program="par" type="Secondary_Report" inputState="Routed" file="cpu8080.dly" label="Asynchronous Delay Report" />   <view hidden="true" program="par" type="Secondary_Report" inputState="Routed" file="cpu8080.clk_rgn" label="Clock Region Report" />   <view hidden="true" program="par" type="Secondary_Report" inputState="Routed" file="cpu8080.grf" label="Guide Results Report" />   <view hidden="true" program="netgen" type="Secondary_Report" inputState="Routed" file="netgen/par/cpu8080_timesim.nlf" label="Post-Route Simulation Model Report" />   <view hidden="true" program="xpwr" type="Report" inputState="Routed" file="cpu8080.pwr" label="Power Report" /> </body></report-views>PK

 !"#$%&'()*+,-./0123456789:;<=>,?@AB*CDE*FGHIJKLMNOPQRSTUPK
 Report" >   <view program="map" type="IOBProperties" inputState="Translated" file="alu_map.mrp" label="IOB Properties" />   <view program="par" type="ConstraintsData" inputState="Mapped" file="alu.par" label="Timing Constraints" />   <view program="par" type="PinoutData" inputState="Mapped" file="alu.pad" label="Pinout Report" />   <view program="par" type="ClocksData" inputState="Mapped" file="alu.par" label="Clock Report" />  </viewgroup>  <viewgroup label="Errors and Warnings" >   <view program="xst" type="MessageList" file="_xmsgs/xst.xmsgs" label="Synthesis Messages" hideColumns="Filtered" />   <view program="ngdbuild" type="MessageList" inputState="Synthesized" file="_xmsgs/ngdbuild.xmsgs" label="Translation Messages" hideColumns="Filtered" />   <view program="map" type="MessageList" inputState="Translated" file="_xmsgs/map.xmsgs" label="Map Messages" hideColumns="Filtered" />   <view program="par" type="MessageList" inputState="Mapped" file="_xmsgs/par.xmsgs" label="Place and Route Messages" hideColumns="Filtered" />   <view program="trce" type="MessageList" inputState="Routed" file="_xmsgs/trce.xmsgs" label="Timing Messages" hideColumns="Filtered" />   <view program="bitgen" type="MessageList" inputState="Routed" file="_xmsgs/bitgen.xmsgs" label="Bitgen Messages" hideColumns="Filtered" />   <view fileList="_xmsgs/xst.xmsgs,_xmsgs/ngdbuild.xmsgs,_xmsgs/map.xmsgs,_xmsgs/par.xmsgs,_xmsgs/trce.xmsgs,_xmsgs/bitgen.xmsgs" program="implementation" type="MessageList" inputState="Current" file="_xmsgs/*.xmsgs" label="All Current Messages" hideColumns="Filtered" />  <viewgroup label="Detailed Reports" >   <view program="xst" type="Report" file="alu.syr" label="Synthesis Report   " >    <toc-item title="Synthesis Options Summary" target="   Synthesis Options Summary   " />    <toc-item title="HDL Compilation" target="   HDL Compilation   " />    <toc-item title="HDL Analysis" target="   HDL Analysis   " />    <toc-item title="HDL Synthesis" target="   HDL Synthesis   " />    <toc-item title="Advanced HDL Synthesis" target="   Advanced HDL Synthesis   " />    <toc-item title="Low Level Synthesis" target="   Low Level Synthesis   " />    <toc-item title="Partition Report" target="   Partition Report     " />    <toc-item title="Final Report" target="   Final Report   " />   <view program="ngdbuild" type="Report" inputState="Synthesized" file="alu.bld" label="Translation Report" >    <toc-item title="Top of Report" target="Release" />    <toc-item title="Command Line" target="Command Line:" />    <toc-item title="Partition Status" target="Partition Implementation Status" />    <toc-item title="Final Summary" target="NGDBUILD Design Results Summary:" />   <view program="map" type="Report" inputState="Translated" file="alu_map.mrp" label="Map Report" >    <toc-item title="Section 1: Errors" target="Section 1 - " />    <toc-item title="Section 2: Warnings" target="Section 2 - " />    <toc-item title="Section 3: Infos" target="Section 3 - " />    <toc-item title="Section 4: Removed Logic Summary" target="Section 4 - " />    <toc-item title="Section 5: Removed Logic" target="Section 5 - " />    <toc-item title="Section 6: IOB Properties" target="Section 6 - " />    <toc-item title="Section 7: RPMs" target="Section 7 - " />    <toc-item title="Section 8: Guide Report" target="Section 8 - " />    <toc-item title="Section 9: Area Group and Partition Summary" target="Section 9 - " />    <toc-item title="Section 10: Modular Design Summary" target="Section 10 - " />    <toc-item title="Section 11: Timing Report" target="Section 11 - " />    <toc-item title="Section 12: Configuration String Information" target="Section 12 - " />   <view program="par" type="Report" inputState="Mapped" file="alu.par" label="Place and Route Report" >    <toc-item title="Device Utilization" target="Device Utilization Summary:" />    <toc-item title="Placer Information" target="Starting Placer" />    <toc-item title="Router Information" target="Starting Router" />    <toc-item title="Clock Report" target="Generating Clock Report" />    <toc-item title="Timing Results" target="Timing Score:" />    <toc-item title="Final Summary" target="Peak Memory Usage:" />   <view program="trce" type="Report" inputState="Routed" file="alu.twr" label="Static Timing Report" >    <toc-item title="Data Sheet Report" target="Data Sheet" />    <toc-item title="Timing Summary" target="Timing summary:" />   <view program="bitgen" type="Report" inputState="Routed" file="alu.bgn" label="Bitgen Report" >    <toc-item title="Bitgen Options" target="Summary of Bitgen Options:" />    <toc-item title="Final Summary" target="DRC detected" />  <viewgroup label="Secondary Reports" >   <view program="xplorer" type="Secondary_Report" inputState="Routed" file="alu_xplorer.rpt" label="Xplorer Report" />   <view hidden="true" program="netgen" type="Secondary_Report" inputState="Translated" file="netgen/translate/alu_translate.nlf" label="Post-Translate Simulation Model Report" />   <view hidden="true" program="trce" type="Secondary_Report" inputState="Mapped" file="alu_preroute.twr" label="Post-Map Static Timing Report" />   <view hidden="true" program="netgen" type="Secondary_Report" inputState="Mapped" file="netgen/map/alu_map.nlf" label="Post-Map Simulation Model Report" />   <view hidden="true" program="par" type="Pad_Report" inputState="Mapped" file="alu_pad.txt" label="Pad Report" />   <view hidden="true" program="par" type="Secondary_Report" inputState="Mapped" file="alu.unroutes" label="Unroutes Report" />   <view hidden="true" program="par" type="Secondary_Report" inputState="Routed" file="alu.dly" label="Asynchronous Delay Report" />   <view hidden="true" program="par" type="Secondary_Report" inputState="Routed" file="alu.clk_rgn" label="Clock Region Report" />   <view hidden="true" program="par" type="Secondary_Report" inputState="Routed" file="alu.grf" label="Guide Results Report" />   <view hidden="true" program="netgen" type="Secondary_Report" inputState="Routed" file="netgen/par/alu_timesim.nlf" label="Post-Route Simulation Model Report" />   <view hidden="true" program="xpwr" type="Report" inputState="Routed" file="alu.pwr" label="Power Report" /> </body></report-views>PK

 !"#$%&'()*+,-./0123456789:;<=>?@AB)CDE)FGHIJKLMNOPQRSTUPK
  <view program="map" inputState="Translated" type="IOBProperties" file="!module_name!_map.mrp" label="IOB Properties" />   <view program="par" inputState="Mapped" type="ConstraintsData" file="!module_name!.par" label="Timing Constraints" />   <view program="par" inputState="Mapped" type="PinoutData" file="!module_name!.pad" label="Pinout Report" />   <view program="par" inputState="Mapped" type="ClocksData" file="!module_name!.par" label="Clock Report" />  </viewgroup>  <viewgroup label="Errors and Warnings" >   <view program="xst" type="MessageList" file="_xmsgs/xst.xmsgs" label="Synthesis Messages" hideColumns="Filtered"/>   <view program="ngdbuild" inputState="Synthesized" type="MessageList" file="_xmsgs/ngdbuild.xmsgs" label="Translation Messages" hideColumns="Filtered"/>   <view program="map" inputState="Translated" type="MessageList"  file="_xmsgs/map.xmsgs" label="Map Messages" hideColumns="Filtered"/>   <view program="par" inputState="Mapped" type="MessageList"  file="_xmsgs/par.xmsgs" label="Place and Route Messages" hideColumns="Filtered"/>   <view program="trce" inputState="Routed" type="MessageList"  file="_xmsgs/trce.xmsgs" label="Timing Messages" hideColumns="Filtered"/>   <view program="bitgen" inputState="Routed" type="MessageList" file="_xmsgs/bitgen.xmsgs" label="Bitgen Messages" hideColumns="Filtered"/>   <view program="implementation" fileList="_xmsgs/xst.xmsgs,_xmsgs/ngdbuild.xmsgs,_xmsgs/map.xmsgs,_xmsgs/par.xmsgs,_xmsgs/trce.xmsgs,_xmsgs/bitgen.xmsgs" inputState="Current" type="MessageList"  file="_xmsgs/*.xmsgs" label="All Current Messages" hideColumns="Filtered"/>  <viewgroup label="Detailed Reports" >   <view program="xst" type="Report" file="!module_name!.syr" label="Synthesis Report   " >    <toc-item title="Synthesis Options Summary" target="   Synthesis Options Summary   " />    <toc-item title="HDL Compilation"           target="   HDL Compilation   " />    <toc-item title="HDL Analysis"              target="   HDL Analysis   " />    <toc-item title="HDL Synthesis"             target="   HDL Synthesis   " />    <toc-item title="Advanced HDL Synthesis"    target="   Advanced HDL Synthesis   " />    <toc-item title="Low Level Synthesis"       target="   Low Level Synthesis   " />    <toc-item title="Partition Report"          target="   Partition Report     " />    <toc-item title="Final Report"              target="   Final Report   " />   <view program="ngdbuild" inputState="Synthesized" type="Report" file="!module_name!.bld" label="Translation Report" >    <toc-item title="Top of Report" target="Release" />    <toc-item title="Command Line" target="Command Line:" />    <toc-item title="Partition Status"          target="Partition Implementation Status" />    <toc-item title="Final Summary" target="NGDBUILD Design Results Summary:" />   <view program="map" inputState="Translated" type="Report" file="!module_name!_map.mrp" label="Map Report" >    <toc-item title="Section 1: Errors"                             target="Section 1 - " />    <toc-item title="Section 2: Warnings"                           target="Section 2 - " />    <toc-item title="Section 3: Infos"                              target="Section 3 - " />    <toc-item title="Section 4: Removed Logic Summary"              target="Section 4 - " />    <toc-item title="Section 5: Removed Logic"                      target="Section 5 - " />    <toc-item title="Section 6: IOB Properties"                     target="Section 6 - " />    <toc-item title="Section 7: RPMs"                               target="Section 7 - " />    <toc-item title="Section 8: Guide Report"                       target="Section 8 - " />    <toc-item title="Section 9: Area Group and Partition Summary"   target="Section 9 - " />    <toc-item title="Section 10: Modular Design Summary"            target="Section 10 - " />    <toc-item title="Section 11: Timing Report"                     target="Section 11 - " />    <toc-item title="Section 12: Configuration String Information"  target="Section 12 - " />   <view program="par" inputState="Mapped" type="Report" file="!module_name!.par" label="Place and Route Report" >    <toc-item title="Device Utilization" target="Device Utilization Summary:" />    <toc-item title="Placer Information" target="Starting Placer" />    <toc-item title="Router Information" target="Starting Router" />    <toc-item title="Partition Status"   target="Partition Implementation Status" />    <toc-item title="Clock Report"       target="Generating Clock Report" />    <toc-item title="Timing Results"     target="Timing Score:" />    <toc-item title="Final Summary"      target="Peak Memory Usage:" />   <view program="trce" inputState="Routed" type="Report" file="!module_name!.twr" label="Static Timing Report">    <toc-item title="Data Sheet Report" target="Data Sheet" />    <toc-item title="Timing Summary" target="Timing summary:" />   <view program="bitgen" inputState="Routed" type="Report" file="!module_name!.bgn" label="Bitgen Report">    <toc-item title="Bitgen Options" target="Summary of Bitgen Options:" />    <toc-item title="Final Summary" target="DRC detected" />  <viewgroup label="Secondary Reports" >   <view program="xplorer" inputState="Routed" type="Secondary_Report" file="!module_name!_xplorer.rpt" label="Xplorer Report"/>   <view program="netgen" inputState="Translated" type="Secondary_Report" file="netgen/translate/!module_name!_translate.nlf" label="Post-Translate Simulation Model Report" hidden="true"/>   <view program="trce" inputState="Mapped" type="Secondary_Report" file="!module_name!_preroute.twr" label="Post-Map Static Timing Report"  hidden="true"/>   <view program="netgen" inputState="Mapped" type="Secondary_Report" file="netgen/map/!module_name!_map.nlf" label="Post-Map Simulation Model Report" hidden="true"/>   <view program="par" inputState="Mapped" type="Pad_Report" file="!module_name!_pad.txt" label="Pad Report"  hidden="true"/>   <view program="par" inputState="Mapped" type="Secondary_Report" file="!module_name!.unroutes" label="Unroutes Report" hidden="true"/>   <view program="par" inputState="Routed" type="Secondary_Report" file="!module_name!.dly" label="Asynchronous Delay Report" hidden="true"/>   <view program="par" inputState="Routed" type="Secondary_Report" file="!module_name!.clk_rgn" label="Clock Region Report" hidden="true"/>   <view program="par" inputState="Routed" type="Secondary_Report" file="!module_name!.grf" label="Guide Results Report" hidden="true"/>   <view program="netgen" inputState="Routed" type="Secondary_Report" file="netgen/par/!module_name!_timesim.nlf" label="Post-Route Simulation Model Report" hidden="true"/>   <view program="xpwr" inputState="Routed" type="Report" file="!module_name!.pwr" label="Power Report"  hidden="true"/> </body></report-views>PK

 !"#$%&'()*+,-./0123456789:;<=>,?@AB*CDE*FGHIJKLMNOPQRSTUPK
s.html" label="Partition Report" >   <view program="map" type="IOBProperties" inputState="Translated" file="testbench_map.mrp" label="IOB Properties" />   <view program="par" type="ConstraintsData" inputState="Mapped" file="testbench.par" label="Timing Constraints" />   <view program="par" type="PinoutData" inputState="Mapped" file="testbench.pad" label="Pinout Report" />   <view program="par" type="ClocksData" inputState="Mapped" file="testbench.par" label="Clock Report" />  </viewgroup>  <viewgroup label="Errors and Warnings" >   <view program="xst" type="MessageList" file="_xmsgs/xst.xmsgs" label="Synthesis Messages" hideColumns="Filtered" />   <view program="ngdbuild" type="MessageList" inputState="Synthesized" file="_xmsgs/ngdbuild.xmsgs" label="Translation Messages" hideColumns="Filtered" />   <view program="map" type="MessageList" inputState="Translated" file="_xmsgs/map.xmsgs" label="Map Messages" hideColumns="Filtered" />   <view program="par" type="MessageList" inputState="Mapped" file="_xmsgs/par.xmsgs" label="Place and Route Messages" hideColumns="Filtered" />   <view program="trce" type="MessageList" inputState="Routed" file="_xmsgs/trce.xmsgs" label="Timing Messages" hideColumns="Filtered" />   <view program="bitgen" type="MessageList" inputState="Routed" file="_xmsgs/bitgen.xmsgs" label="Bitgen Messages" hideColumns="Filtered" />   <view fileList="_xmsgs/xst.xmsgs,_xmsgs/ngdbuild.xmsgs,_xmsgs/map.xmsgs,_xmsgs/par.xmsgs,_xmsgs/trce.xmsgs,_xmsgs/bitgen.xmsgs" program="implementation" type="MessageList" inputState="Current" file="_xmsgs/*.xmsgs" label="All Current Messages" hideColumns="Filtered" />  <viewgroup label="Detailed Reports" >   <view program="xst" type="Report" file="testbench.syr" label="Synthesis Report   " >    <toc-item title="Synthesis Options Summary" target="   Synthesis Options Summary   " />    <toc-item title="HDL Compilation" target="   HDL Compilation   " />    <toc-item title="HDL Analysis" target="   HDL Analysis   " />    <toc-item title="HDL Synthesis" target="   HDL Synthesis   " />    <toc-item title="Advanced HDL Synthesis" target="   Advanced HDL Synthesis   " />    <toc-item title="Low Level Synthesis" target="   Low Level Synthesis   " />    <toc-item title="Partition Report" target="   Partition Report     " />    <toc-item title="Final Report" target="   Final Report   " />   <view program="ngdbuild" type="Report" inputState="Synthesized" file="testbench.bld" label="Translation Report" >    <toc-item title="Top of Report" target="Release" />    <toc-item title="Command Line" target="Command Line:" />    <toc-item title="Partition Status" target="Partition Implementation Status" />    <toc-item title="Final Summary" target="NGDBUILD Design Results Summary:" />   <view program="map" type="Report" inputState="Translated" file="testbench_map.mrp" label="Map Report" >    <toc-item title="Section 1: Errors" target="Section 1 - " />    <toc-item title="Section 2: Warnings" target="Section 2 - " />    <toc-item title="Section 3: Infos" target="Section 3 - " />    <toc-item title="Section 4: Removed Logic Summary" target="Section 4 - " />    <toc-item title="Section 5: Removed Logic" target="Section 5 - " />    <toc-item title="Section 6: IOB Properties" target="Section 6 - " />    <toc-item title="Section 7: RPMs" target="Section 7 - " />    <toc-item title="Section 8: Guide Report" target="Section 8 - " />    <toc-item title="Section 9: Area Group and Partition Summary" target="Section 9 - " />    <toc-item title="Section 10: Modular Design Summary" target="Section 10 - " />    <toc-item title="Section 11: Timing Report" target="Section 11 - " />    <toc-item title="Section 12: Configuration String Information" target="Section 12 - " />   <view program="par" type="Report" inputState="Mapped" file="testbench.par" label="Place and Route Report" >    <toc-item title="Device Utilization" target="Device Utilization Summary:" />    <toc-item title="Placer Information" target="Starting Placer" />    <toc-item title="Router Information" target="Starting Router" />    <toc-item title="Clock Report" target="Generating Clock Report" />    <toc-item title="Timing Results" target="Timing Score:" />    <toc-item title="Final Summary" target="Peak Memory Usage:" />   <view program="trce" type="Report" inputState="Routed" file="testbench.twr" label="Static Timing Report" >    <toc-item title="Data Sheet Report" target="Data Sheet" />    <toc-item title="Timing Summary" target="Timing summary:" />   <view program="bitgen" type="Report" inputState="Routed" file="testbench.bgn" label="Bitgen Report" >    <toc-item title="Bitgen Options" target="Summary of Bitgen Options:" />    <toc-item title="Final Summary" target="DRC detected" />  <viewgroup label="Secondary Reports" >   <view program="xplorer" type="Secondary_Report" inputState="Routed" file="testbench_xplorer.rpt" label="Xplorer Report" />   <view hidden="true" program="netgen" type="Secondary_Report" inputState="Translated" file="netgen/translate/testbench_translate.nlf" label="Post-Translate Simulation Model Report" />   <view hidden="true" program="trce" type="Secondary_Report" inputState="Mapped" file="testbench_preroute.twr" label="Post-Map Static Timing Report" />   <view hidden="true" program="netgen" type="Secondary_Report" inputState="Mapped" file="netgen/map/testbench_map.nlf" label="Post-Map Simulation Model Report" />   <view hidden="true" program="par" type="Pad_Report" inputState="Mapped" file="testbench_pad.txt" label="Pad Report" />   <view hidden="true" program="par" type="Secondary_Report" inputState="Mapped" file="testbench.unroutes" label="Unroutes Report" />   <view hidden="true" program="par" type="Secondary_Report" inputState="Routed" file="testbench.dly" label="Asynchronous Delay Report" />   <view hidden="true" program="par" type="Secondary_Report" inputState="Routed" file="testbench.clk_rgn" label="Clock Region Report" />   <view hidden="true" program="par" type="Secondary_Report" inputState="Routed" file="testbench.grf" label="Guide Results Report" />   <view hidden="true" program="netgen" type="Secondary_Report" inputState="Routed" file="netgen/par/testbench_timesim.nlf" label="Post-Route Simulation Model Report" />   <view hidden="true" program="xpwr" type="Report" inputState="Routed" file="testbench.pwr" label="Power Report" /> </body></report-views>PK
 __OBJSTORE__/HierarchicalDesign/PK
__OBJSTORE__/xfilter/PK
__OBJSTORE__/ISimPlugin/PK
(__OBJSTORE__/ISimPlugin/SignalOrdering1/PK

 !"# !"PK

       

PK

PK

 !PK
cancode_rdy/cpu8080_tbw/UUT/adm3a/vgai/sc_r/cpu8080_tbw/UUT/adm3a/vgai/sc_xPK
__OBJSTORE__/ProjectNavigator/PK
/__OBJSTORE__/ProjectNavigator/dpm_project_main/PK
44F__OBJSTORE__/ProjectNavigator/dpm_project_main/dpm_project_main_StrTblcpu8080acr2spartan3virtexxbrvirtex4PK

? !"#$%&'()*+,-./0123456789:;<=>?@ABCDEFGHIJKLMNOP









        














_
`
a
b
c
d
e
f
g
 
!
"
#
 O
"P
"
#
 
$ST
$
%
&VWXYZ[\]^_`abcdefghijklm
'
(
)
*
+
,
-
.
/
0
1
2
3
4
5
6
'
7
8
9
:
;
<
=
>
&
$[
"
#
"P[
"
#
"P[
"
#
"P[
?
?o
?[
 
$
"
#
 O
"P[
"
#
"P[
 
$
"
#
?
 O
"P
?q
?[[
"
#
"P[
"
#
"P[
"
#
"P[
 
@
"
#
 O
"P[
?
?r
?[
 
$
"
#
 O
"P[[[[[
"
#
"P[[[[
 
@
A
B
"
#
?
 O
As
"P
?t
?
A[
"
#
"P[
 
$
A
B
"
#
?
 O
As
"P
?v
?[
 
$
"
#
?
 O
"P
?w
?[
?
?x
?[
 
$
A
B
"
#
?
 O
As
"P
?y
?[[[
"
#
"P[
"
#
"P[[
"
#
"P[[[
"
#
"P[
"
#
"P[[[
 
$
"
#
?
 O
"P
?z
?[
"
#
"P[
"
#
"P[[[
"
#
"P[[
"
#
"P[
"
#
"P[[
"
#
"P[
"
#
"P[[
"
#
"P[
"
#
"P[
"
#
"P[
"
#
"P[
"
#
"P[
"
#
"P[
C{
D{
E{
F{
G{
C
H
D
H
E
H
F
H
G
H[[
"
#
"P[
 
$
"
#
 O
"P[
"
#
"P[
"
#
"P[
"
#
"P[[[[
 
$
"
#
 O
"P[[
"
#
"P[[
"
#
"P[[
"
#
"P[
"
#
"P[
"
#
"P[[
 
$
"
#
 O
"P[
"
#
"P[
"
#
"P[[
"
#
"P[
"
#
"P[[
?
?|
?[[
"
#
"P[
 
!
"
#
 O
"P[
"
#
"P[
 
$
"
#
 O
"P[
"
#
"P[[
"
#
"P[
"
#
"P[
"
#
"P[
"
#
"P[
 
@
"
#
?
 O
"P
?}
?[[[
"
#
"P[
"
#
"P[
"
#
"P[
?
?~
?[
?
?
?[
?
?[
"
#
"P[[[
"
#
"P[
"
#
"P[[[
"
#
"P[
?
?[[
"
#
"P[
 
$
"
#
 O
"P[
"
#
"P[[[[
"
#
"P[[[
"
#
"P[
"
#
"P[[
"
#
"P[
"
#
"P[
"
#
"P[
"
#
"P[[[
"
#
"P[[
"
#
"P[
"
#
"P[
"
#
"P[
 
!
"
#
 O
"P[
"
#
"P[
"
#
"P[[[
"
#
"P[
"
#
"P[
"
#
"P[
 
$
"
#
 O
"P[
"
#
"P[
"
#
"P[
"
#
"P[
"
#
"P[
"
#
"P[[
"
#
"P[
"
#
"P[
"
#
"P[[
"
#
"P[
"
#
"P[[
 
$
"
#
 O
"P[[
"
#
"P[[
 
$
A
B
"
#
?
 O
As
"P
?[[
"
#
"P[[
"
#
"P[
"
#
"P[
"
#
"P[[
"
#
"P[
"
#
"P[[
"
#
"P[[
"
#
"P[
"
#
"P[
"
#
"P[
"
#
"P[
?
?[[
"
#
"P[[[[
"
#
"P[[
"
#
"P[
"
#
"P[
"
#
"P[
"
#
"P[
"
#
"P[
"
#
"P[
"
#
"P[
?
?[[[[[[
 
@
"
#
 O
"P[
 
$
"
#
 O
"P[
"
#
"P[
"
#
"P[[[[
"
#
"P[
"
#
"P[
"
#
"P[[[
"
#
"P[
"
#
"P[[[
"
#
"P[
"
#
"P[[
 
$
"
#
 O
"P[[
"
#
"P[
"
#
"P[[[
 
!
"
#
 O
"P[
"
#
"P[
"
#
"P[
"
#
"P[[
"
#
"P[
 
@
"
#
 O
"P[
 
$
"
#
 O
"P[
"
#
"P[[
"
#
"P[[
 
$
"
#
 O
"P[
"
#
"P[
 
@
"
#
 O
"P[
"
#
"P[
 
$
"
#
 O
"P[
"
#
"P[
"
#
"P[[
 
$
"
#
 O
"P[[
"
#
"P[
"
#
"P[
"
#
"P[
"
#
"P[
 
!
"
#
 O
"P[[
"
#
"P[
"
#
"P[[
?
?[
"
#
"P[[[[
"
#
"P[[[
"
#
"P[
"
#
"P[[
"
#
"P[[
 
$
"
#
 O
"P[[
"
#
"P[[[
 
@
"
#
 O
"P[[
"
#
"P[[
"
#
"P[[[
?
?[[
 
$
"
#
 O
"P[[[
"
#
"P[
 
$
"
#
 O
"P[[
"
#[
 
@
"
#[
 
@
A
B
"
#
?[
 
!
"
#
?[
 
!
A
B
"
#
?[
 
$
"
#
?[
 
$
A
B
"
#
?[
 
I
"
#
?[
 
I
A
B
"
#
JE`zisim.cmd
M
M
N
M
M
N
M
M
N
M
M
N
R
R
S
R
R
S
R
R
S
R
R
S
TE`zcpu8080_tbw_isim_beh.exe
W
W
X
W
W
X
W
W
X
W
W
X
YE`pxilinxsim.ini
\
\
]
\
\
]
\
\
]
\
\
]
^E`pcpu8080_tbw_beh.prj
a
a
b
a
a
b
a
a
b
a
a
b
g
h
i
i
g
h
i
i
g
h
i
i
g
h
i
i
j
m
m
b
   T
l
m
m
b

m
m
b
m
m
b

nERòps2_kbd.vhd
G
r
t
v
pM 
v
w!
x
w
q#<$
w%
y
z
t'8
{
|
~
u'7
{

{
s)!*
z
t'
{
|
~
u'
{

{
p
q
r0
s
t2
v
pM3
v
w!
x
w
q#<5
w%
y
z
t'8
{
|
~
u'7
{

{
s)!7
z
t'
{
|
~
u'
{

{
p@
qA
r<
sB
t>
v
pM?
v
w!
x
w
q#<A
w%
y
z
t'8
{
|
~
u'7
{

{
s)!C
z
t'
{
|
~
u'
{

{
p'
q(
rH
s)
tJ
v
pMK
v
w!
x
w
q#<O
w%
y
z
t'8
{
|
~
u'7
{

{
s)!R
z
t'
{
|
~
u'
{

{
r(UVWX
j
j^
j
j^
j
j^
j
j^
fhijkl
Dmn
xz{|}~
g
j
i
g
j
i
g
j
i
g
j
i
o

z
t'
{
|
~
u'
{

{
z
t'
{
|
~
u'
{

{
r(
z
t'
{
|
~
u'
{

{
z
t'
{
|
~
u'
{

{
r(
z
t'
{
|
~
u'
{

{
z
t'
{
|
~
u'
{

{
r(
z
t'
{
|
~
u'
{

{
z
t'
{
|
~
u'
{

{
x
y
z
t'f
{
|
~
u'e
{

{
x
y
z
t'.
{
|
~
u'-
{

{
z
t'
{
|
~
u'
{

{
x
y
z
t'f
{
|
~
u'e
{

{
x
y
z
t'.
{
|
~
u'-
{

{
z
t'
{
|
~
u'
{

{
r(
   
r
t
u
x
y
z
t'f
{
|
~
u'e
{

{
x
y
z
t'.
{
|
~
u'-
{

{
z
t'
{
|
~
u'
{

{
r6
t8
u7
x
y
z
t'f
{
|
~
u'e
{

{
x
y
z
t'.
{
|
~
u'-
{

{
z
t'
{
|
~
u'
{

{
r(
NOPQ
h
h
h
h
h
h
h
h
h
h
h
h
h
h
h
h
h
h
h
h
h
h
h
h
h
j
h
h
h
h
h
h
j
h
h
h
h
h
h
j
h
H
h
h
h
h
h
j
h
"#6M789:;
bcpu8080.v
= ;
h
!?M@E`
= ;=
h
= :
h
NFMGE`
= :D
h
= 9
h
6MMNE`
= 9K
h
= 8
h
= 8R
h
E`u$ 


E`

E`

E`

E`

E`

E`

E`

E`

E`

E`

E`





        
















#
$
&
(
)
*
,
-
.
/
0
1
2
3
5
6
7
8
:
;
=
>
?
@
F
G
H
I
J
M
N
O
P
Q
R
V
X
Z
[
]
^
_
`
a
b
c
d
e
f
g
h
i
j
n
p
q
r
s
t
u
v
w
x
y
{
|
~


ic
}
unisim|vcomponentsBUFGCE|unisim|vcomponentsBUFGCTRL|unisim|vcomponentsBUFGDLL|unisim|vcomponentsBUFGMUX_1|unisim|vcomponentsBUFGMUX_CTRL|unisim|vcomponentsBUFGMUX_VIRTEX4|unisim|vcomponentsBUFGMUX|unisim|vcomponentsBUFGP|unisim|vcomponentsBUFGSR|unisim|vcomponentsBUFGTS|unisim|vcomponentsBUFG|unisim|vcomponentsBUFIO|unisim|vcomponentsBUFR|unisim|vcomponentsBUFT|unisim|vcomponentsBUF|unisim|vcomponentsCAPTURE_FPGACORE|unisim|vcomponentsCAPTURE_SPARTAN2|unisim|vcomponentsCAPTURE_SPARTAN3A|unisim|vcomponentsCAPTURE_SPARTAN3|unisim|vcomponentsCAPTURE_VIRTEX2|unisim|vcomponentsCAPTURE_VIRTEX4|unisim|vcomponentsCAPTURE_VIRTEX5|unisim|vcomponentsCAPTURE_VIRTEX|unisim|vcomponentsCARRY4|unisim|vcomponentsCFGLUT5|unisim|vcomponentsCLKDLLE|unisim|vcomponentsCLKDLLHF|unisim|vcomponentsCLKDLL|unisim|vcomponentsCLK_DIV10RSD|unisim|vcomponentsCLK_DIV10R|unisim|vcomponentsCLK_DIV10SD|unisim|vcomponentsCLK_DIV10|unisim|vcomponentsCLK_DIV12RSD|unisim|vcomponentsCLK_DIV12R|unisim|vcomponentsCLK_DIV12SD|unisim|vcomponentsCLK_DIV12|unisim|vcomponentsCLK_DIV14RSD|unisim|vcomponentsCLK_DIV14R|unisim|vcomponentsCLK_DIV14SD|unisim|vcomponentsCLK_DIV14|unisim|vcomponentsCLK_DIV16RSD|unisim|vcomponentsCLK_DIV16R|unisim|vcomponentsCLK_DIV16SD|unisim|vcomponentsCLK_DIV16|unisim|vcomponentsCLK_DIV2RSD|unisim|vcomponentsCLK_DIV2R|unisim|vcomponentsCLK_DIV2SD|unisim|vcomponentsCLK_DIV2|unisim|vcomponentsCLK_DIV4RSD|unisim|vcomponentsCLK_DIV4R|unisim|vcomponentsCLK_DIV4SD|unisim|vcomponentsCLK_DIV4|unisim|vcomponentsCLK_DIV6RSD|unisim|vcomponentsCLK_DIV6R|unisim|vcomponentsCLK_DIV6SD|unisim|vcomponentsCLK_DIV6|unisim|vcomponentsCLK_DIV8RSD|unisim|vcomponentsCLK_DIV8R|unisim|vcomponentsCLK_DIV8SD|unisim|vcomponentsCLK_DIV8|unisim|vcomponentsCONFIG|unisim|vcomponentsCRC32|unisim|vcomponentsCRC64|unisim|vcomponentsDCC_FPGACORE|unisim|vcomponentsDCIRESET|unisim|vcomponentsDCM_ADV|unisim|vcomponentsDCM_BASE|unisim|vcomponentsDCM_PS|unisim|vcomponentsDCM_SP|unisim|vcomponentsDCM|unisim|vcomponentsDNA_PORT|unisim|vcomponentsDSP48E|unisim|vcomponentsDSP48|unisim|vcomponentsEMAC|unisim|vcomponentsFDCE_1|unisim|vcomponentsFDCE|unisim|vcomponentsFDCPE_1|unisim|vcomponentsFDCPE|unisim|vcomponentsFDCPX1|unisim|vcomponentsFDCP_1|unisim|vcomponentsFDCP|unisim|vcomponentsFDC_1|unisim|vcomponentsFDC|unisim|vcomponentsFDDCE|unisim|vcomponentsFDDCPE|unisim|vcomponentsFDDCP|unisim|vcomponentsFDDC|unisim|vcomponentsFDDPE|unisim|vcomponentsFDDP|unisim|vcomponentsFDDRCPE|unisim|vcomponentsFDDRRSE|unisim|vcomponentsFDD|unisim|vcomponentsFDE_1|unisim|vcomponentsFDE|unisim|vcomponentsFDPE_1|unisim|vcomponentsFDPE|unisim|vcomponentsFDP_1|unisim|vcomponentsFDP|unisim|vcomponentsFDRE_1|unisim|vcomponentsFDRE|unisim|vcomponentsFDRSE_1|unisim|vcomponentsFDRSE|unisim|vcomponentsFDRS_1|unisim|vcomponentsFDRS|unisim|vcomponentsFDR_1|unisim|vcomponentsFDR|unisim|vcomponentsFDSE_1|unisim|vcomponentsFDSE|unisim|vcomponentsFDS_1|unisim|vcomponentsFDS|unisim|vcomponentsFD_1|unisim|vcomponentsFD|unisim|vcomponentsFIFO16|unisim|vcomponentsFIFO18_36|unisim|vcomponentsFIFO18|unisim|vcomponentsFIFO36_72_EXP|unisim|vcomponentsFIFO36_72|unisim|vcomponentsFIFO36_EXP|unisim|vcomponentsFIFO36|unisim|vcomponentsFMAP|unisim|vcomponentsFRAME_ECC_VIRTEX4|unisim|vcomponentsFRAME_ECC_VIRTEX5|unisim|vcomponentsFTCP|unisim|vcomponentsFTC|unisim|vcomponentsFTP|unisim|vcomponentsGND|unisim|vcomponentsGT10_10GE_4|unisim|vcomponentsGT10_10GE_8|unisim|vcomponentsGT10_10GFC_4|unisim|vcomponentsGT10_10GFC_8|unisim|vcomponentsGT10_AURORAX_4|unisim|vcomponentsGT10_AURORAX_8|unisim|vcomponentsGT10_AURORA_1|unisim|vcomponentsGT10_AURORA_2|unisim|vcomponentsGT10_AURORA_4|unisim|vcomponentsGT10_CUSTOM|unisim|vcomponentsGT10_INFINIBAND_1|unisim|vcomponentsGT10_INFINIBAND_2|unisim|vcomponentsGT10_INFINIBAND_4|unisim|vcomponentsGT10_OC192_4|unisim|vcomponentsGT10_OC192_8|unisim|vcomponentsGT10_OC48_1|unisim|vcomponentsGT10_OC48_2|unisim|vcomponentsGT10_OC48_4|unisim|vcomponentsGT10_PCI_EXPRESS_1|unisim|vcomponentsGT10_PCI_EXPRESS_2|unisim|vcomponentsGT10_PCI_EXPRESS_4|unisim|vcomponentsGT10_XAUI_1|unisim|vcomponentsGT10_XAUI_2|unisim|vcomponentsGT10_XAUI_4|unisim|vcomponentsGT10|unisim|vcomponentsGT11CLK_MGT|unisim|vcomponentsGT11CLK|unisim|vcomponentsGT11_CUSTOM|unisim|vcomponentsGT11_DUAL|unisim|vcomponentsGT11|unisim|vcomponentsGT_AURORA_1|unisim|vcomponentsGT_AURORA_2|unisim|vcomponentsGT_AURORA_4|unisim|vcomponentsGT_CUSTOM|unisim|vcomponentsGT_ETHERNET_1|unisim|vcomponentsGT_ETHERNET_2|unisim|vcomponentsGT_ETHERNET_4|unisim|vcomponentsGT_FIBRE_CHAN_1|unisim|vcomponentsGT_FIBRE_CHAN_2|unisim|vcomponentsGT_FIBRE_CHAN_4|unisim|vcomponentsGT_INFINIBAND_1|unisim|vcomponentsGT_INFINIBAND_2|unisim|vcomponentsGT_INFINIBAND_4|unisim|vcomponentsGT_XAUI_1|unisim|vcomponentsGT_XAUI_2|unisim|vcomponentsGT_XAUI_4|unisim|vcomponentsGT|unisim|vcomponentsIBUFDS_BLVDS_25|unisim|vcomponentsIBUFDS_DIFF_OUT|unisim|vcomponentsIBUFDS_DLY_ADJ|unisim|vcomponentsIBUFDS_LDT_25|unisim|vcomponentsIBUFDS_LVDSEXT_25_DCI|unisim|vcomponentsIBUFDS_LVDSEXT_25|unisim|vcomponentsIBUFDS_LVDSEXT_33_DCI|unisim|vcomponentsIBUFDS_LVDSEXT_33|unisim|vcomponentsIBUFDS_LVDS_25_DCI|unisim|vcomponentsIBUFDS_LVDS_25|unisim|vcomponentsIBUFDS_LVDS_33_DCI|unisim|vcomponentsIBUFDS_LVDS_33|unisim|vcomponentsIBUFDS_LVPECL_25|unisim|vcomponentsIBUFDS_LVPECL_33|unisim|vcomponentsIBUFDS_ULVDS_25|unisim|vcomponentsIBUFDS|unisim|vcomponentsIBUFGDS_BLVDS_25|unisim|vcomponentsIBUFGDS_DIFF_OUT|unisim|vcomponentsIBUFGDS_LDT_25|unisim|vcomponentsIBUFGDS_LVDSEXT_25_DCI|unisim|vcomponentsIBUFGDS_LVDSEXT_25|unisim|vcomponentsIBUFGDS_LVDSEXT_33_DCI|unisim|vcomponentsIBUFGDS_LVDSEXT_33|unisim|vcomponentsIBUFGDS_LVDS_25_DCI|unisim|vcomponentsIBUFGDS_LVDS_25|unisim|vcomponentsIBUFGDS_LVDS_33_DCI|unisim|vcomponentsIBUFGDS_LVDS_33|unisim|vcomponentsIBUFGDS_LVPECL_25|unisim|vcomponentsIBUFGDS_LVPECL_33|unisim|vcomponentsIBUFGDS_ULVDS_25|unisim|vcomponentsIBUFGDS|unisim|vcomponentsIBUFG_AGP|unisim|vcomponentsIBUFG_CTT|unisim|vcomponentsIBUFG_GTLP_DCI|unisim|vcomponentsIBUFG_GTLP|unisim|vcomponentsIBUFG_GTL_DCI|unisim|vcomponentsIBUFG_GTL|unisim|vcomponentsIBUFG_HSTL_III_18|unisim|vcomponentsIBUFG_HSTL_III_DCI_18|unisim|vcomponentsIBUFG_HSTL_III_DCI|unisim|vcomponentsIBUFG_HSTL_III|unisim|vcomponentsIBUFG_HSTL_II_18|unisim|vcomponentsIBUFG_HSTL_II_DCI_18|unisim|vcomponentsIBUFG_HSTL_II_DCI|unisim|vcomponentsIBUFG_HSTL_II|unisim|vcomponentsIBUFG_HSTL_IV_18|unisim|vcomponentsIBUFG_HSTL_IV_DCI_18|unisim|vcomponentsIBUFG_HSTL_IV_DCI|unisim|vcomponentsIBUFG_HSTL_IV|unisim|vcomponentsIBUFG_HSTL_I_18|unisim|vcomponentsIBUFG_HSTL_I_DCI_18|unisim|vcomponentsIBUFG_HSTL_I_DCI|unisim|vcomponentsIBUFG_HSTL_I|unisim|vcomponentsIBUFG_LVCMOS12|unisim|vcomponentsIBUFG_LVCMOS15|unisim|vcomponentsIBUFG_LVCMOS18|unisim|vcomponentsIBUFG_LVCMOS25|unisim|vcomponentsIBUFG_LVCMOS2|unisim|vcomponentsIBUFG_LVCMOS33|unisim|vcomponentsIBUFG_LVDCI_15|unisim|vcomponentsIBUFG_LVDCI_18|unisim|vcomponentsIBUFG_LVDCI_25|unisim|vcomponentsIBUFG_LVDCI_33|unisim|vcomponentsIBUFG_LVDCI_DV2_15|unisim|vcomponentsIBUFG_LVDCI_DV2_18|unisim|vcomponentsIBUFG_LVDCI_DV2_25|unisim|vcomponentsIBUFG_LVDCI_DV2_33|unisim|vcomponentsIBUFG_LVDS|unisim|vcomponentsIBUFG_LVPECL|unisim|vcomponentsIBUFG_LVTTL|unisim|vcomponentsIBUFG_PCI33_3|unisim|vcomponentsIBUFG_PCI33_5|unisim|vcomponentsIBUFG_PCI66_3|unisim|vcomponentsIBUFG_PCIX66_3|unisim|vcomponentsIBUFG_PCIX|unisim|vcomponentsIBUFG_SSTL18_II_DCI|unisim|vcomponentsIBUFG_SSTL18_II|unisim|vcomponentsIBUFG_SSTL18_I_DCI|unisim|vcomponentsIBUFG_SSTL18_I|unisim|vcomponentsIBUFG_SSTL2_II_DCI|unisim|vcomponentsIBUFG_SSTL2_II|unisim|vcomponentsIBUFG_SSTL2_I_DCI|unisim|vcomponentsIBUFG_SSTL2_I|unisim|vcomponentsIBUFG_SSTL3_II_DCI|unisim|vcomponentsIBUFG_SSTL3_II|unisim|vcomponentsIBUFG_SSTL3_I_DCI|unisim|vcomponentsIBUFG_SSTL3_I|unisim|vcomponentsIBUFG|unisim|vcomponentsIBUF_AGP|unisim|vcomponentsIBUF_CTT|unisim|vcomponentsIBUF_DLY_ADJ|unisim|vcomponentsIBUF_GTLP_DCI|unisim|vcomponentsIBUF_GTLP|unisim|vcomponentsIBUF_GTL_DCI|unisim|vcomponentsIBUF_GTL|unisim|vcomponentsIBUF_HSTL_III_18|unisim|vcomponentsIBUF_HSTL_III_DCI_18|unisim|vcomponentsIBUF_HSTL_III_DCI|unisim|vcomponentsIBUF_HSTL_III|unisim|vcomponentsIBUF_HSTL_II_18|unisim|vcomponentsIBUF_HSTL_II_DCI_18|unisim|vcomponentsIBUF_HSTL_II_DCI|unisim|vcomponentsIBUF_HSTL_II|unisim|vcomponentsIBUF_HSTL_IV_18|unisim|vcomponentsIBUF_HSTL_IV_DCI_18|unisim|vcomponentsIBUF_HSTL_IV_DCI|unisim|vcomponentsIBUF_HSTL_IV|unisim|vcomponentsIBUF_HSTL_I_18|unisim|vcomponentsIBUF_HSTL_I_DCI_18|unisim|vcomponentsIBUF_HSTL_I_DCI|unisim|vcomponentsIBUF_HSTL_I|unisim|vcomponentsIBUF_LVCMOS12|unisim|vcomponentsIBUF_LVCMOS15|unisim|vcomponentsIBUF_LVCMOS18|unisim|vcomponentsIBUF_LVCMOS25|unisim|vcomponentsIBUF_LVCMOS2|unisim|vcomponentsIBUF_LVCMOS33|unisim|vcomponentsIBUF_LVDCI_15|unisim|vcomponentsIBUF_LVDCI_18|unisim|vcomponentsIBUF_LVDCI_25|unisim|vcomponentsIBUF_LVDCI_33|unisim|vcomponentsIBUF_LVDCI_DV2_15|unisim|vcomponentsIBUF_LVDCI_DV2_18|unisim|vcomponentsIBUF_LVDCI_DV2_25|unisim|vcomponentsIBUF_LVDCI_DV2_33|unisim|vcomponentsIBUF_LVDS|unisim|vcomponentsIBUF_LVPECL|unisim|vcomponentsIBUF_LVTTL|unisim|vcomponentsIBUF_PCI33_3|unisim|vcomponentsIBUF_PCI33_5|unisim|vcomponentsIBUF_PCI66_3|unisim|vcomponentsIBUF_PCIX66_3|unisim|vcomponentsIBUF_PCIX|unisim|vcomponentsIBUF_SSTL18_II_DCI|unisim|vcomponentsIBUF_SSTL18_II|unisim|vcomponentsIBUF_SSTL18_I_DCI|unisim|vcomponentsIBUF_SSTL18_I|unisim|vcomponentsIBUF_SSTL2_II_DCI|unisim|vcomponentsIBUF_SSTL2_II|unisim|vcomponentsIBUF_SSTL2_I_DCI|unisim|vcomponentsIBUF_SSTL2_I|unisim|vcomponentsIBUF_SSTL3_II_DCI|unisim|vcomponentsIBUF_SSTL3_II|unisim|vcomponentsIBUF_SSTL3_I_DCI|unisim|vcomponentsIBUF_SSTL3_I|unisim|vcomponentsIBUF|unisim|vcomponentsICAP_SPARTAN3A|unisim|vcomponentsICAP_VIRTEX2|unisim|vcomponentsICAP_VIRTEX4|unisim|vcomponentsICAP_VIRTEX5|unisim|vcomponentsIDDR2|unisim|vcomponentsIDDR_2CLK|unisim|vcomponentsIDDR|unisim|vcomponentsIDELAYCTRL|unisim|vcomponentsIDELAY|unisim|vcomponentsIFDDRCPE|unisim|vcomponentsIFDDRRSE|unisim|vcomponentsILD|unisim|vcomponentsINV|unisim|vcomponentsIOBUFDS_BLVDS_25|unisim|vcomponentsIOBUFDS|unisim|vcomponentsIOBUFE_F|unisim|vcomponentsIOBUFE_S|unisim|vcomponentsIOBUFE|unisim|vcomponentsIOBUF_AGP|unisim|vcomponentsIOBUF_CTT|unisim|vcomponentsIOBUF_F_12|unisim|vcomponentsIOBUF_F_16|unisim|vcomponentsIOBUF_F_24|unisim|vcomponentsIOBUF_F_2|unisim|vcomponentsIOBUF_F_4|unisim|vcomponentsIOBUF_F_6|unisim|vcomponentsIOBUF_F_8|unisim|vcomponentsIOBUF_GTLP_DCI|unisim|vcomponentsIOBUF_GTLP|unisim|vcomponentsIOBUF_GTL_DCI|unisim|vcomponentsIOBUF_GTL|unisim|vcomponentsIOBUF_HSTL_III_18|unisim|vcomponentsIOBUF_HSTL_III|unisim|vcomponentsIOBUF_HSTL_II_18|unisim|vcomponentsIOBUF_HSTL_II_DCI_18|unisim|vcomponentsIOBUF_HSTL_II_DCI|unisim|vcomponentsIOBUF_HSTL_II|unisim|vcomponentsIOBUF_HSTL_IV_18|unisim|vcomponentsIOBUF_HSTL_IV_DCI_18|unisim|vcomponentsIOBUF_HSTL_IV_DCI|unisim|vcomponentsIOBUF_HSTL_IV|unisim|vcomponentsIOBUF_HSTL_I_18|unisim|vcomponentsIOBUF_HSTL_I|unisim|vcomponentsIOBUF_LVCMOS12_F_2|unisim|vcomponentsIOBUF_LVCMOS12_F_4|unisim|vcomponentsIOBUF_LVCMOS12_F_6|unisim|vcomponentsIOBUF_LVCMOS12_F_8|unisim|vcomponentsIOBUF_LVCMOS12_S_2|unisim|vcomponentsIOBUF_LVCMOS12_S_4|unisim|vcomponentsIOBUF_LVCMOS12_S_6|unisim|vcomponentsIOBUF_LVCMOS12_S_8|unisim|vcomponentsIOBUF_LVCMOS12|unisim|vcomponentsIOBUF_LVCMOS15_F_12|unisim|vcomponentsIOBUF_LVCMOS15_F_16|unisim|vcomponentsIOBUF_LVCMOS15_F_2|unisim|vcomponentsIOBUF_LVCMOS15_F_4|unisim|vcomponentsIOBUF_LVCMOS15_F_6|unisim|vcomponentsIOBUF_LVCMOS15_F_8|unisim|vcomponentsIOBUF_LVCMOS15_S_12|unisim|vcomponentsIOBUF_LVCMOS15_S_16|unisim|vcomponentsIOBUF_LVCMOS15_S_2|unisim|vcomponentsIOBUF_LVCMOS15_S_4|unisim|vcomponentsIOBUF_LVCMOS15_S_6|unisim|vcomponentsIOBUF_LVCMOS15_S_8|unisim|vcomponentsIOBUF_LVCMOS15|unisim|vcomponentsIOBUF_LVCMOS18_F_12|unisim|vcomponentsIOBUF_LVCMOS18_F_16|unisim|vcomponentsIOBUF_LVCMOS18_F_2|unisim|vcomponentsIOBUF_LVCMOS18_F_4|unisim|vcomponentsIOBUF_LVCMOS18_F_6|unisim|vcomponentsIOBUF_LVCMOS18_F_8|unisim|vcomponentsIOBUF_LVCMOS18_S_12|unisim|vcomponentsIOBUF_LVCMOS18_S_16|unisim|vcomponentsIOBUF_LVCMOS18_S_2|unisim|vcomponentsIOBUF_LVCMOS18_S_4|unisim|vcomponentsIOBUF_LVCMOS18_S_6|unisim|vcomponentsIOBUF_LVCMOS18_S_8|unisim|vcomponentsIOBUF_LVCMOS18|unisim|vcomponentsIOBUF_LVCMOS25_F_12|unisim|vcomponentsIOBUF_LVCMOS25_F_16|unisim|vcomponentsIOBUF_LVCMOS25_F_24|unisim|vcomponentsIOBUF_LVCMOS25_F_2|unisim|vcomponentsIOBUF_LVCMOS25_F_4|unisim|vcomponentsIOBUF_LVCMOS25_F_6|unisim|vcomponentsIOBUF_LVCMOS25_F_8|unisim|vcomponentsIOBUF_LVCMOS25_S_12|unisim|vcomponentsIOBUF_LVCMOS25_S_16|unisim|vcomponentsIOBUF_LVCMOS25_S_24|unisim|vcomponentsIOBUF_LVCMOS25_S_2|unisim|vcomponentsIOBUF_LVCMOS25_S_4|unisim|vcomponentsIOBUF_LVCMOS25_S_6|unisim|vcomponentsIOBUF_LVCMOS25_S_8|unisim|vcomponentsIOBUF_LVCMOS25|unisim|vcomponentsIOBUF_LVCMOS2|unisim|vcomponentsIOBUF_LVCMOS33_F_12|unisim|vcomponentsIOBUF_LVCMOS33_F_16|unisim|vcomponentsIOBUF_LVCMOS33_F_24|unisim|vcomponentsIOBUF_LVCMOS33_F_2|unisim|vcomponentsIOBUF_LVCMOS33_F_4|unisim|vcomponentsIOBUF_LVCMOS33_F_6|unisim|vcomponentsIOBUF_LVCMOS33_F_8|unisim|vcomponentsIOBUF_LVCMOS33_S_12|unisim|vcomponentsIOBUF_LVCMOS33_S_16|unisim|vcomponentsIOBUF_LVCMOS33_S_24|unisim|vcomponentsIOBUF_LVCMOS33_S_2|unisim|vcomponentsIOBUF_LVCMOS33_S_4|unisim|vcomponentsIOBUF_LVCMOS33_S_6|unisim|vcomponentsIOBUF_LVCMOS33_S_8|unisim|vcomponentsIOBUF_LVCMOS33|unisim|vcomponentsIOBUF_LVDCI_15|unisim|vcomponentsIOBUF_LVDCI_18|unisim|vcomponentsIOBUF_LVDCI_25|unisim|vcomponentsIOBUF_LVDCI_33|unisim|vcomponentsIOBUF_LVDCI_DV2_15|unisim|vcomponentsIOBUF_LVDCI_DV2_18|unisim|vcomponentsIOBUF_LVDCI_DV2_25|unisim|vcomponentsIOBUF_LVDCI_DV2_33|unisim|vcomponentsIOBUF_LVDS|unisim|vcomponentsIOBUF_LVPECL|unisim|vcomponentsIOBUF_LVTTL_F_12|unisim|vcomponentsIOBUF_LVTTL_F_16|unisim|vcomponentsIOBUF_LVTTL_F_24|unisim|vcomponentsIOBUF_LVTTL_F_2|unisim|vcomponentsIOBUF_LVTTL_F_4|unisim|vcomponentsIOBUF_LVTTL_F_6|unisim|vcomponentsIOBUF_LVTTL_F_8|unisim|vcomponentsIOBUF_LVTTL_S_12|unisim|vcomponentsIOBUF_LVTTL_S_16|unisim|vcomponentsIOBUF_LVTTL_S_24|unisim|vcomponentsIOBUF_LVTTL_S_2|unisim|vcomponentsIOBUF_LVTTL_S_4|unisim|vcomponentsIOBUF_LVTTL_S_6|unisim|vcomponentsIOBUF_LVTTL_S_8|unisim|vcomponentsIOBUF_LVTTL|unisim|vcomponentsIOBUF_PCI33_3|unisim|vcomponentsIOBUF_PCI33_5|unisim|vcomponentsIOBUF_PCI66_3|unisim|vcomponentsIOBUF_PCIX66_3|unisim|vcomponentsIOBUF_PCIX|unisim|vcomponentsIOBUF_SSTL18_II_DCI|unisim|vcomponentsIOBUF_SSTL18_II|unisim|vcomponentsIOBUF_SSTL18_I|unisim|vcomponentsIOBUF_SSTL2_II_DCI|unisim|vcomponentsIOBUF_SSTL2_II|unisim|vcomponentsIOBUF_SSTL2_I|unisim|vcomponentsIOBUF_SSTL3_II_DCI|unisim|vcomponentsIOBUF_SSTL3_II|unisim|vcomponentsIOBUF_SSTL3_I|unisim|vcomponentsIOBUF_S_12|unisim|vcomponentsIOBUF_S_16|unisim|vcomponentsIOBUF_S_24|unisim|vcomponentsIOBUF_S_2|unisim|vcomponentsIOBUF_S_4|unisim|vcomponentsIOBUF_S_6|unisim|vcomponentsIOBUF_S_8|unisim|vcomponentsIOBUF|unisim|vcomponentsIODELAY|unisim|vcomponentsISERDES_NODELAY|unisim|vcomponentsISERDES|unisim|vcomponentsJTAGPPC|unisim|vcomponentsKEEPER|unisim|vcomponentsKEEP|unisim|vcomponentsKEY_CLEAR|unisim|vcomponentsLDCE_1|unisim|vcomponentsLDCE|unisim|vcomponentsLDCPE_1|unisim|vcomponentsLDCPE|unisim|vcomponentsLDCP_1|unisim|vcomponentsLDCP|unisim|vcomponentsLDC_1|unisim|vcomponentsLDC|unisim|vcomponentsLDE_1|unisim|vcomponentsLDE|unisim|vcomponentsLDG|unisim|vcomponentsLDPE_1|unisim|vcomponentsLDPE|unisim|vcomponentsLDP_1|unisim|vcomponentsLDP|unisim|vcomponentsLD_1|unisim|vcomponentsLD|unisim|vcomponentsLUT1_D|unisim|vcomponentsLUT1_L|unisim|vcomponentsLUT1|unisim|vcomponentsLUT2_D|unisim|vcomponentsLUT2_L|unisim|vcomponentsLUT2|unisim|vcomponentsLUT3_D|unisim|vcomponentsLUT3_L|unisim|vcomponentsLUT3|unisim|vcomponentsLUT4_D|unisim|vcomponentsLUT4_L|unisim|vcomponentsLUT4|unisim|vcomponentsLUT5_D|unisim|vcomponentsLUT5_L|unisim|vcomponentsLUT5|unisim|vcomponentsLUT6_D|unisim|vcomponentsLUT6_L|unisim|vcomponentsLUT6|unisim|vcomponentsMERGE|unisim|vcomponentsMIN_OFF|unisim|vcomponentsMULT18X18SIO|unisim|vcomponentsMULT18X18S|unisim|vcomponentsMULT18X18|unisim|vcomponentsMULT_AND|unisim|vcomponentsMUXCY_D|unisim|vcomponentsMUXCY_L|unisim|vcomponentsMUXCY|unisim|vcomponentsMUXF5_D|unisim|vcomponentsMUXF5_L|unisim|vcomponentsMUXF5|unisim|vcomponentsMUXF6_D|unisim|vcomponentsMUXF6_L|unisim|vcomponentsMUXF6|unisim|vcomponentsMUXF7_D|unisim|vcomponentsMUXF7_L|unisim|vcomponentsMUXF7|unisim|vcomponentsMUXF8_D|unisim|vcomponentsMUXF8_L|unisim|vcomponentsMUXF8|unisim|vcomponentsNAND2B1|unisim|vcomponentsNAND2B2|unisim|vcomponentsNAND2|unisim|vcomponentsNAND3B1|unisim|vcomponentsNAND3B2|unisim|vcomponentsNAND3B3|unisim|vcomponentsNAND3|unisim|vcomponentsNAND4B1|unisim|vcomponentsNAND4B2|unisim|vcomponentsNAND4B3|unisim|vcomponentsNAND4B4|unisim|vcomponentsNAND4|unisim|vcomponentsNAND5B1|unisim|vcomponentsNAND5B2|unisim|vcomponentsNAND5B3|unisim|vcomponentsNAND5B4|unisim|vcomponentsNAND5B5|unisim|vcomponentsNAND5|unisim|vcomponentsNOR2B1|unisim|vcomponentsNOR2B2|unisim|vcomponentsNOR2|unisim|vcomponentsNOR3B1|unisim|vcomponentsNOR3B2|unisim|vcomponentsNOR3B3|unisim|vcomponentsNOR3|unisim|vcomponentsNOR4B1|unisim|vcomponentsNOR4B2|unisim|vcomponentsNOR4B3|unisim|vcomponentsNOR4B4|unisim|vcomponentsNOR4|unisim|vcomponentsNOR5B1|unisim|vcomponentsNOR5B2|unisim|vcomponentsNOR5B3|unisim|vcomponentsNOR5B4|unisim|vcomponentsNOR5B5|unisim|vcomponentsNOR5|unisim|vcomponentsOBUFDS_BLVDS_25|unisim|vcomponentsOBUFDS_LDT_25|unisim|vcomponentsOBUFDS_LVDSEXT_25|unisim|vcomponentsOBUFDS_LVDSEXT_33|unisim|vcomponentsOBUFDS_LVDS_25|unisim|vcomponentsOBUFDS_LVDS_33|unisim|vcomponentsOBUFDS_LVPECL_25|unisim|vcomponentsOBUFDS_LVPECL_33|unisim|vcomponentsOBUFDS_ULVDS_25|unisim|vcomponentsOBUFDS|unisim|vcomponentsOBUFE|unisim|vcomponentsOBUFTDS_BLVDS_25|unisim|vcomponentsOBUFTDS_LDT_25|unisim|vcomponentsOBUFTDS_LVDSEXT_25|unisim|vcomponentsOBUFTDS_LVDSEXT_33|unisim|vcomponentsOBUFTDS_LVDS_25|unisim|vcomponentsOBUFTDS_LVDS_33|unisim|vcomponentsOBUFTDS_LVPECL_25|unisim|vcomponentsOBUFTDS_LVPECL_33|unisim|vcomponentsOBUFTDS_ULVDS_25|unisim|vcomponentsOBUFTDS|unisim|vcomponentsOBUFT_AGP|unisim|vcomponentsOBUFT_CTT|unisim|vcomponentsOBUFT_F_12|unisim|vcomponentsOBUFT_F_16|unisim|vcomponentsOBUFT_F_24|unisim|vcomponentsOBUFT_F_2|unisim|vcomponentsOBUFT_F_4|unisim|vcomponentsOBUFT_F_6|unisim|vcomponentsOBUFT_F_8|unisim|vcomponentsOBUFT_GTLP_DCI|unisim|vcomponentsOBUFT_GTLP|unisim|vcomponentsOBUFT_GTL_DCI|unisim|vcomponentsOBUFT_GTL|unisim|vcomponentsOBUFT_HSTL_III_18|unisim|vcomponentsOBUFT_HSTL_III_DCI_18|unisim|vcomponentsOBUFT_HSTL_III_DCI|unisim|vcomponentsOBUFT_HSTL_III|unisim|vcomponentsOBUFT_HSTL_II_18|unisim|vcomponentsOBUFT_HSTL_II_DCI_18|unisim|vcomponentsOBUFT_HSTL_II_DCI|unisim|vcomponentsOBUFT_HSTL_II|unisim|vcomponentsOBUFT_HSTL_IV_18|unisim|vcomponentsOBUFT_HSTL_IV_DCI_18|unisim|vcomponentsOBUFT_HSTL_IV_DCI|unisim|vcomponentsOBUFT_HSTL_IV|unisim|vcomponentsOBUFT_HSTL_I_18|unisim|vcomponentsOBUFT_HSTL_I_DCI_18|unisim|vcomponentsOBUFT_HSTL_I_DCI|unisim|vcomponentsOBUFT_HSTL_I|unisim|vcomponentsOBUFT_LVCMOS12_F_2|unisim|vcomponentsOBUFT_LVCMOS12_F_4|unisim|vcomponentsOBUFT_LVCMOS12_F_6|unisim|vcomponentsOBUFT_LVCMOS12_F_8|unisim|vcomponentsOBUFT_LVCMOS12_S_2|unisim|vcomponentsOBUFT_LVCMOS12_S_4|unisim|vcomponentsOBUFT_LVCMOS12_S_6|unisim|vcomponentsOBUFT_LVCMOS12_S_8|unisim|vcomponentsOBUFT_LVCMOS12|unisim|vcomponentsOBUFT_LVCMOS15_F_12|unisim|vcomponentsOBUFT_LVCMOS15_F_16|unisim|vcomponentsOBUFT_LVCMOS15_F_2|unisim|vcomponentsOBUFT_LVCMOS15_F_4|unisim|vcomponentsOBUFT_LVCMOS15_F_6|unisim|vcomponentsOBUFT_LVCMOS15_F_8|unisim|vcomponentsOBUFT_LVCMOS15_S_12|unisim|vcomponentsOBUFT_LVCMOS15_S_16|unisim|vcomponentsOBUFT_LVCMOS15_S_2|unisim|vcomponentsOBUFT_LVCMOS15_S_4|unisim|vcomponentsOBUFT_LVCMOS15_S_6|unisim|vcomponentsOBUFT_LVCMOS15_S_8|unisim|vcomponentsOBUFT_LVCMOS15|unisim|vcomponentsOBUFT_LVCMOS18_F_12|unisim|vcomponentsOBUFT_LVCMOS18_F_16|unisim|vcomponentsOBUFT_LVCMOS18_F_2|unisim|vcomponentsOBUFT_LVCMOS18_F_4|unisim|vcomponentsOBUFT_LVCMOS18_F_6|unisim|vcomponentsOBUFT_LVCMOS18_F_8|unisim|vcomponentsOBUFT_LVCMOS18_S_12|unisim|vcomponentsOBUFT_LVCMOS18_S_16|unisim|vcomponentsOBUFT_LVCMOS18_S_2|unisim|vcomponentsOBUFT_LVCMOS18_S_4|unisim|vcomponentsOBUFT_LVCMOS18_S_6|unisim|vcomponentsOBUFT_LVCMOS18_S_8|unisim|vcomponentsOBUFT_LVCMOS18|unisim|vcomponentsOBUFT_LVCMOS25_F_12|unisim|vcomponentsOBUFT_LVCMOS25_F_16|unisim|vcomponentsOBUFT_LVCMOS25_F_24|unisim|vcomponentsOBUFT_LVCMOS25_F_2|unisim|vcomponentsOBUFT_LVCMOS25_F_4|unisim|vcomponentsOBUFT_LVCMOS25_F_6|unisim|vcomponentsOBUFT_LVCMOS25_F_8|unisim|vcomponentsOBUFT_LVCMOS25_S_12|unisim|vcomponentsOBUFT_LVCMOS25_S_16|unisim|vcomponentsOBUFT_LVCMOS25_S_24|unisim|vcomponentsOBUFT_LVCMOS25_S_2|unisim|vcomponentsOBUFT_LVCMOS25_S_4|unisim|vcomponentsOBUFT_LVCMOS25_S_6|unisim|vcomponentsOBUFT_LVCMOS25_S_8|unisim|vcomponentsOBUFT_LVCMOS25|unisim|vcomponentsOBUFT_LVCMOS2|unisim|vcomponentsOBUFT_LVCMOS33_F_12|unisim|vcomponentsOBUFT_LVCMOS33_F_16|unisim|vcomponentsOBUFT_LVCMOS33_F_24|unisim|vcomponentsOBUFT_LVCMOS33_F_2|unisim|vcomponentsOBUFT_LVCMOS33_F_4|unisim|vcomponentsOBUFT_LVCMOS33_F_6|unisim|vcomponentsOBUFT_LVCMOS33_F_8|unisim|vcomponentsOBUFT_LVCMOS33_S_12|unisim|vcomponentsOBUFT_LVCMOS33_S_16|unisim|vcomponentsOBUFT_LVCMOS33_S_24|unisim|vcomponentsOBUFT_LVCMOS33_S_2|unisim|vcomponentsOBUFT_LVCMOS33_S_4|unisim|vcomponentsOBUFT_LVCMOS33_S_6|unisim|vcomponentsOBUFT_LVCMOS33_S_8|unisim|vcomponentsOBUFT_LVCMOS33|unisim|vcomponentsOBUFT_LVDCI_15|unisim|vcomponentsOBUFT_LVDCI_18|unisim|vcomponentsOBUFT_LVDCI_25|unisim|vcomponentsOBUFT_LVDCI_33|unisim|vcomponentsOBUFT_LVDCI_DV2_15|unisim|vcomponentsOBUFT_LVDCI_DV2_18|unisim|vcomponentsOBUFT_LVDCI_DV2_25|unisim|vcomponentsOBUFT_LVDCI_DV2_33|unisim|vcomponentsOBUFT_LVDS|unisim|vcomponentsOBUFT_LVPECL|unisim|vcomponentsOBUFT_LVTTL_F_12|unisim|vcomponentsOBUFT_LVTTL_F_16|unisim|vcomponentsOBUFT_LVTTL_F_24|unisim|vcomponentsOBUFT_LVTTL_F_2|unisim|vcomponentsOBUFT_LVTTL_F_4|unisim|vcomponentsOBUFT_LVTTL_F_6|unisim|vcomponentsOBUFT_LVTTL_F_8|unisim|vcomponentsOBUFT_LVTTL_S_12|unisim|vcomponentsOBUFT_LVTTL_S_16|unisim|vcomponentsOBUFT_LVTTL_S_24|unisim|vcomponentsOBUFT_LVTTL_S_2|unisim|vcomponentsOBUFT_LVTTL_S_4|unisim|vcomponentsOBUFT_LVTTL_S_6|unisim|vcomponentsOBUFT_LVTTL_S_8|unisim|vcomponentsOBUFT_LVTTL|unisim|vcomponentsOBUFT_PCI33_3|unisim|vcomponentsOBUFT_PCI33_5|unisim|vcomponentsOBUFT_PCI66_3|unisim|vcomponentsOBUFT_PCIX66_3|unisim|vcomponentsOBUFT_PCIX|unisim|vcomponentsOBUFT_SSTL18_II_DCI|unisim|vcomponentsOBUFT_SSTL18_II|unisim|vcomponentsOBUFT_SSTL18_I_DCI|unisim|vcomponentsOBUFT_SSTL18_I|unisim|vcomponentsOBUFT_SSTL2_II_DCI|unisim|vcomponentsOBUFT_SSTL2_II|unisim|vcomponentsOBUFT_SSTL2_I_DCI|unisim|vcomponentsOBUFT_SSTL2_I|unisim|vcomponentsOBUFT_SSTL3_II_DCI|unisim|vcomponentsOBUFT_SSTL3_II|unisim|vcomponentsOBUFT_SSTL3_I_DCI|unisim|vcomponentsOBUFT_SSTL3_I|unisim|vcomponentsOBUFT_S_12|unisim|vcomponentsOBUFT_S_16|unisim|vcomponentsOBUFT_S_24|unisim|vcomponentsOBUFT_S_2|unisim|vcomponentsOBUFT_S_4|unisim|vcomponentsOBUFT_S_6|unisim|vcomponentsOBUFT_S_8|unisim|vcomponentsOBUFT|unisim|vcomponentsOBUF_AGP|unisim|vcomponentsOBUF_CTT|unisim|vcomponentsOBUF_F_12|unisim|vcomponentsOBUF_F_16|unisim|vcomponentsOBUF_F_24|unisim|vcomponentsOBUF_F_2|unisim|vcomponentsOBUF_F_4|unisim|vcomponentsOBUF_F_6|unisim|vcomponentsOBUF_F_8|unisim|vcomponentsOBUF_GTLP_DCI|unisim|vcomponentsOBUF_GTLP|unisim|vcomponentsOBUF_GTL_DCI|unisim|vcomponentsOBUF_GTL|unisim|vcomponentsOBUF_HSTL_III_18|unisim|vcomponentsOBUF_HSTL_III_DCI_18|unisim|vcomponentsOBUF_HSTL_III_DCI|unisim|vcomponentsOBUF_HSTL_III|unisim|vcomponentsOBUF_HSTL_II_18|unisim|vcomponentsOBUF_HSTL_II_DCI_18|unisim|vcomponentsOBUF_HSTL_II_DCI|unisim|vcomponentsOBUF_HSTL_II|unisim|vcomponentsOBUF_HSTL_IV_18|unisim|vcomponentsOBUF_HSTL_IV_DCI_18|unisim|vcomponentsOBUF_HSTL_IV_DCI|unisim|vcomponentsOBUF_HSTL_IV|unisim|vcomponentsOBUF_HSTL_I_18|unisim|vcomponentsOBUF_HSTL_I_DCI_18|unisim|vcomponentsOBUF_HSTL_I_DCI|unisim|vcomponentsOBUF_HSTL_I|unisim|vcomponentsOBUF_LVCMOS12_F_2|unisim|vcomponentsOBUF_LVCMOS12_F_4|unisim|vcomponentsOBUF_LVCMOS12_F_6|unisim|vcomponentsOBUF_LVCMOS12_F_8|unisim|vcomponentsOBUF_LVCMOS12_S_2|unisim|vcomponentsOBUF_LVCMOS12_S_4|unisim|vcomponentsOBUF_LVCMOS12_S_6|unisim|vcomponentsOBUF_LVCMOS12_S_8|unisim|vcomponentsOBUF_LVCMOS12|unisim|vcomponentsOBUF_LVCMOS15_F_12|unisim|vcomponentsOBUF_LVCMOS15_F_16|unisim|vcomponentsOBUF_LVCMOS15_F_2|unisim|vcomponentsOBUF_LVCMOS15_F_4|unisim|vcomponentsOBUF_LVCMOS15_F_6|unisim|vcomponentsOBUF_LVCMOS15_F_8|unisim|vcomponentsOBUF_LVCMOS15_S_12|unisim|vcomponentsOBUF_LVCMOS15_S_16|unisim|vcomponentsOBUF_LVCMOS15_S_2|unisim|vcomponentsOBUF_LVCMOS15_S_4|unisim|vcomponentsOBUF_LVCMOS15_S_6|unisim|vcomponentsOBUF_LVCMOS15_S_8|unisim|vcomponentsOBUF_LVCMOS15|unisim|vcomponentsOBUF_LVCMOS18_F_12|unisim|vcomponentsOBUF_LVCMOS18_F_16|unisim|vcomponentsOBUF_LVCMOS18_F_2|unisim|vcomponentsOBUF_LVCMOS18_F_4|unisim|vcomponentsOBUF_LVCMOS18_F_6|unisim|vcomponentsOBUF_LVCMOS18_F_8|unisim|vcomponentsOBUF_LVCMOS18_S_12|unisim|vcomponentsOBUF_LVCMOS18_S_16|unisim|vcomponentsOBUF_LVCMOS18_S_2|unisim|vcomponentsOBUF_LVCMOS18_S_4|unisim|vcomponentsOBUF_LVCMOS18_S_6|unisim|vcomponentsOBUF_LVCMOS18_S_8|unisim|vcomponentsOBUF_LVCMOS18|unisim|vcomponentsOBUF_LVCMOS25_F_12|unisim|vcomponentsOBUF_LVCMOS25_F_16|unisim|vcomponentsOBUF_LVCMOS25_F_24|unisim|vcomponentsOBUF_LVCMOS25_F_2|unisim|vcomponentsOBUF_LVCMOS25_F_4|unisim|vcomponentsOBUF_LVCMOS25_F_6|unisim|vcomponentsOBUF_LVCMOS25_F_8|unisim|vcomponentsOBUF_LVCMOS25_S_12|unisim|vcomponentsOBUF_LVCMOS25_S_16|unisim|vcomponentsOBUF_LVCMOS25_S_24|unisim|vcomponentsOBUF_LVCMOS25_S_2|unisim|vcomponentsOBUF_LVCMOS25_S_4|unisim|vcomponentsOBUF_LVCMOS25_S_6|unisim|vcomponentsOBUF_LVCMOS25_S_8|unisim|vcomponentsOBUF_LVCMOS25|unisim|vcomponentsOBUF_LVCMOS2|unisim|vcomponentsOBUF_LVCMOS33_F_12|unisim|vcomponentsOBUF_LVCMOS33_F_16|unisim|vcomponentsOBUF_LVCMOS33_F_24|unisim|vcomponentsOBUF_LVCMOS33_F_2|unisim|vcomponentsOBUF_LVCMOS33_F_4|unisim|vcomponentsOBUF_LVCMOS33_F_6|unisim|vcomponentsOBUF_LVCMOS33_F_8|unisim|vcomponentsOBUF_LVCMOS33_S_12|unisim|vcomponentsOBUF_LVCMOS33_S_16|unisim|vcomponentsOBUF_LVCMOS33_S_24|unisim|vcomponentsOBUF_LVCMOS33_S_2|unisim|vcomponentsOBUF_LVCMOS33_S_4|unisim|vcomponentsOBUF_LVCMOS33_S_6|unisim|vcomponentsOBUF_LVCMOS33_S_8|unisim|vcomponentsOBUF_LVCMOS33|unisim|vcomponentsOBUF_LVDCI_15|unisim|vcomponentsOBUF_LVDCI_18|unisim|vcomponentsOBUF_LVDCI_25|unisim|vcomponentsOBUF_LVDCI_33|unisim|vcomponentsOBUF_LVDCI_DV2_15|unisim|vcomponentsOBUF_LVDCI_DV2_18|unisim|vcomponentsOBUF_LVDCI_DV2_25|unisim|vcomponentsOBUF_LVDCI_DV2_33|unisim|vcomponentsOBUF_LVDS|unisim|vcomponentsOBUF_LVPECL|unisim|vcomponentsOBUF_LVTTL_F_12|unisim|vcomponentsOBUF_LVTTL_F_16|unisim|vcomponentsOBUF_LVTTL_F_24|unisim|vcomponentsOBUF_LVTTL_F_2|unisim|vcomponentsOBUF_LVTTL_F_4|unisim|vcomponentsOBUF_LVTTL_F_6|unisim|vcomponentsOBUF_LVTTL_F_8|unisim|vcomponentsOBUF_LVTTL_S_12|unisim|vcomponentsOBUF_LVTTL_S_16|unisim|vcomponentsOBUF_LVTTL_S_24|unisim|vcomponentsOBUF_LVTTL_S_2|unisim|vcomponentsOBUF_LVTTL_S_4|unisim|vcomponentsOBUF_LVTTL_S_6|unisim|vcomponentsOBUF_LVTTL_S_8|unisim|vcomponentsOBUF_LVTTL|unisim|vcomponentsOBUF_PCI33_3|unisim|vcomponentsOBUF_PCI33_5|unisim|vcomponentsOBUF_PCI66_3|unisim|vcomponentsOBUF_PCIX66_3|unisim|vcomponentsOBUF_PCIX|unisim|vcomponentsOBUF_SSTL18_II_DCI|unisim|vcomponentsOBUF_SSTL18_II|unisim|vcomponentsOBUF_SSTL18_I_DCI|unisim|vcomponentsOBUF_SSTL18_I|unisim|vcomponentsOBUF_SSTL2_II_DCI|unisim|vcomponentsOBUF_SSTL2_II|unisim|vcomponentsOBUF_SSTL2_I_DCI|unisim|vcomponentsOBUF_SSTL2_I|unisim|vcomponentsOBUF_SSTL3_II_DCI|unisim|vcomponentsOBUF_SSTL3_II|unisim|vcomponentsOBUF_SSTL3_I_DCI|unisim|vcomponentsOBUF_SSTL3_I|unisim|vcomponentsOBUF_S_12|unisim|vcomponentsOBUF_S_16|unisim|vcomponentsOBUF_S_24|unisim|vcomponentsOBUF_S_2|unisim|vcomponentsOBUF_S_4|unisim|vcomponentsOBUF_S_6|unisim|vcomponentsOBUF_S_8|unisim|vcomponentsOBUF|unisim|vcomponentsODDR2|unisim|vcomponentsODDR|unisim|vcomponentsOFDDRCPE|unisim|vcomponentsOFDDRRSE|unisim|vcomponentsOFDDRTCPE|unisim|vcomponentsOFDDRTRSE|unisim|vcomponentsOPT_OFF|unisim|vcomponentsOPT_UIM|unisim|vcomponentsOR2B1|unisim|vcomponentsOR2B2|unisim|vcomponentsOR2|unisim|vcomponentsOR3B1|unisim|vcomponentsOR3B2|unisim|vcomponentsOR3B3|unisim|vcomponentsOR3|unisim|vcomponentsOR4B1|unisim|vcomponentsOR4B2|unisim|vcomponentsOR4B3|unisim|vcomponentsOR4B4|unisim|vcomponentsOR4|unisim|vcomponentsOR5B1|unisim|vcomponentsOR5B2|unisim|vcomponentsOR5B3|unisim|vcomponentsOR5B4|unisim|vcomponentsOR5B5|unisim|vcomponentsOR5|unisim|vcomponentsOR6|unisim|vcomponentsOR7|unisim|vcomponentsOR8|unisim|vcomponentsORCY|unisim|vcomponentsOSERDES|unisim|vcomponentsPLL_ADV|unisim|vcomponentsPLL_BASE|unisim|vcomponentsPMCD|unisim|vcomponentsPPC405_ADV|unisim|vcomponentsPPC405|unisim|vcomponentsPULLDOWN|unisim|vcomponentsPULLUP|unisim|vcomponentsRAM128X1D|unisim|vcomponentsRAM128X1S_1|unisim|vcomponentsRAM128X1S|unisim|vcomponentsRAM16X1D_1|unisim|vcomponentsRAM16X1D|unisim|vcomponentsRAM16X1S_1|unisim|vcomponentsRAM16X1S|unisim|vcomponentsRAM16X2S|unisim|vcomponentsRAM16X4S|unisim|vcomponentsRAM16X8S|unisim|vcomponentsRAM256X1S|unisim|vcomponentsRAM32M|unisim|vcomponentsRAM32X1D_1|unisim|vcomponentsRAM32X1D|unisim|vcomponentsRAM32X1S_1|unisim|vcomponentsRAM32X1S|unisim|vcomponentsRAM32X2S|unisim|vcomponentsRAM32X4S|unisim|vcomponentsRAM32X8S|unisim|vcomponentsRAM64M|unisim|vcomponentsRAM64X1D_1|unisim|vcomponentsRAM64X1D|unisim|vcomponentsRAM64X1S_1|unisim|vcomponentsRAM64X1S|unisim|vcomponentsRAM64X2S|unisim|vcomponentsRAMB16BWE_S18_S18|unisim|vcomponentsRAMB16BWE_S18_S9|unisim|vcomponentsRAMB16BWE_S18|unisim|vcomponentsRAMB16BWE_S36_S18|unisim|vcomponentsRAMB16BWE_S36_S36|unisim|vcomponentsRAMB16BWE_S36_S9|unisim|vcomponentsRAMB16BWE_S36|unisim|vcomponentsRAMB16BWE|unisim|vcomponentsRAMB16_S18_S18|unisim|vcomponentsRAMB16_S18_S36|unisim|vcomponentsRAMB16_S18|unisim|vcomponentsRAMB16_S1_S18|unisim|vcomponentsRAMB16_S1_S1|unisim|vcomponentsRAMB16_S1_S2|unisim|vcomponentsRAMB16_S1_S36|unisim|vcomponentsRAMB16_S1_S4|unisim|vcomponentsRAMB16_S1_S9|unisim|vcomponentsRAMB16_S1|unisim|vcomponentsRAMB16_S2_S18|unisim|vcomponentsRAMB16_S2_S2|unisim|vcomponentsRAMB16_S2_S36|unisim|vcomponentsRAMB16_S2_S4|unisim|vcomponentsRAMB16_S2_S9|unisim|vcomponentsRAMB16_S2|unisim|vcomponentsRAMB16_S36_S36|unisim|vcomponentsRAMB16_S36|unisim|vcomponentsRAMB16_S4_S18|unisim|vcomponentsRAMB16_S4_S36|unisim|vcomponentsRAMB16_S4_S4|unisim|vcomponentsRAMB16_S4_S9|unisim|vcomponentsRAMB16_S4|unisim|vcomponentsRAMB16_S9_S18|unisim|vcomponentsRAMB16_S9_S36|unisim|vcomponentsRAMB16_S9_S9|unisim|vcomponentsRAMB16_S9|unisim|vcomponentsRAMB16|unisim|vcomponentsRAMB18SDP|unisim|vcomponentsRAMB18|unisim|vcomponentsRAMB32_S64_ECC|unisim|vcomponentsRAMB36SDP_EXP|unisim|vcomponentsRAMB36SDP|unisim|vcomponentsRAMB36_EXP|unisim|vcomponentsRAMB36|unisim|vcomponentsRAMB4_S16_S16|unisim|vcomponentsRAMB4_S16|unisim|vcomponentsRAMB4_S1_S16|unisim|vcomponentsRAMB4_S1_S1|unisim|vcomponentsRAMB4_S1_S2|unisim|vcomponentsRAMB4_S1_S4|unisim|vcomponentsRAMB4_S1_S8|unisim|vcomponentsRAMB4_S1|unisim|vcomponentsRAMB4_S2_S16|unisim|vcomponentsRAMB4_S2_S2|unisim|vcomponentsRAMB4_S2_S4|unisim|vcomponentsRAMB4_S2_S8|unisim|vcomponentsRAMB4_S2|unisim|vcomponentsRAMB4_S4_S16|unisim|vcomponentsRAMB4_S4_S4|unisim|vcomponentsRAMB4_S4_S8|unisim|vcomponentsRAMB4_S4|unisim|vcomponentsRAMB4_S8_S16|unisim|vcomponentsRAMB4_S8_S8|unisim|vcomponentsRAMB4_S8|unisim|vcomponentsROCBUF|unisim|vcomponentsROC|unisim|vcomponentsROM128X1|unisim|vcomponentsROM16X1|unisim|vcomponentsROM256X1|unisim|vcomponentsROM32X1|unisim|vcomponentsROM64X1|unisim|vcomponentsSRL16E_1|unisim|vcomponentsSRL16E|unisim|vcomponentsSRL16_1|unisim|vcomponentsSRL16|unisim|vcomponentsSRLC16E_1|unisim|vcomponentsSRLC16E|unisim|vcomponentsSRLC16_1|unisim|vcomponentsSRLC16|unisim|vcomponentsSRLC32E|unisim|vcomponentsSTARTBUF_FPGACORE|unisim|vcomponentsSTARTBUF_SPARTAN2|unisim|vcomponentsSTARTBUF_SPARTAN3|unisim|vcomponentsSTARTBUF_VIRTEX2|unisim|vcomponentsSTARTBUF_VIRTEX4|unisim|vcomponentsSTARTBUF_VIRTEX|unisim|vcomponentsSTARTUP_FPGACORE|unisim|vcomponentsSTARTUP_SPARTAN2|unisim|vcomponentsSTARTUP_SPARTAN3A|unisim|vcomponentsSTARTUP_SPARTAN3E|unisim|vcomponentsSTARTUP_SPARTAN3|unisim|vcomponentsSTARTUP_VIRTEX2|unisim|vcomponentsSTARTUP_VIRTEX4|unisim|vcomponentsSTARTUP_VIRTEX5|unisim|vcomponentsSTARTUP_VIRTEX|unisim|vcomponentsSYSMON|unisim|vcomponentsTBLOCK|unisim|vcomponentsTIMEGRP|unisim|vcomponentsTIMESPEC|unisim|vcomponentsTOCBUF|unisim|vcomponentsTOC|unisim|vcomponentsUSR_ACCESS_VIRTEX4|unisim|vcomponentsUSR_ACCESS_VIRTEX5|unisim|vcomponentsVCC|unisim|vcomponentsWIREAND|unisim|vcomponentsXNOR2|unisim|vcomponentsXNOR3|unisim|vcomponentsXNOR4|unisim|vcomponentsXNOR5|unisim|vcomponentsXOR2|unisim|vcomponentsXOR3|unisim|vcomponentsXOR4|unisim|vcomponentsXOR5|unisim|vcomponentsXORCY_D|unisim|vcomponentsXORCY_L|unisim|vcomponentsXORCY|unisim|vcomponentsX_AND16|simprim|vcomponentsX_AND2|simprim|vcomponentsX_AND32|simprim|vcomponentsX_AND3|simprim|vcomponentsX_AND4|simprim|vcomponentsX_AND5|simprim|vcomponentsX_AND6|simprim|vcomponentsX_AND7|simprim|vcomponentsX_AND8|simprim|vcomponentsX_AND9|simprim|vcomponentsX_BPAD|simprim|vcomponentsX_BSCAN_FPGACORE|simprim|vcomponentsX_BSCAN_SPARTAN2|simprim|vcomponentsX_BSCAN_SPARTAN3A|simprim|vcomponentsX_BSCAN_SPARTAN3|simprim|vcomponentsX_BSCAN_VIRTEX2|simprim|vcomponentsX_BSCAN_VIRTEX4|simprim|vcomponentsX_BSCAN_VIRTEX5|simprim|vcomponentsX_BSCAN_VIRTEX|simprim|vcomponentsX_BUFGCTRL|simprim|vcomponentsX_BUFGMUX_1|simprim|vcomponentsX_BUFGMUX|simprim|vcomponentsX_BUFR|simprim|vcomponentsX_BUF|simprim|vcomponentsX_CARRY4|simprim|vcomponentsX_CKBUF|simprim|vcomponentsX_CLKDLLE|simprim|vcomponentsX_CLKDLL|simprim|vcomponentsX_CLK_DIV|simprim|vcomponentsX_CRC32|simprim|vcomponentsX_CRC64|simprim|vcomponentsX_DCM_ADV|simprim|vcomponentsX_DCM_SP|simprim|vcomponentsX_DCM|simprim|vcomponentsX_DNA_PORT|simprim|vcomponentsX_DSP48E|simprim|vcomponentsX_DSP48|simprim|vcomponentsX_EMAC|simprim|vcomponentsX_FDDRCPE|simprim|vcomponentsX_FDDRRSE|simprim|vcomponentsX_FDD|simprim|vcomponentsX_FF|simprim|vcomponentsX_FIFO16|simprim|vcomponentsX_FIFO18_36|simprim|vcomponentsX_FIFO18|simprim|vcomponentsX_FIFO36_72_EXP|simprim|vcomponentsX_FIFO36_EXP|simprim|vcomponentsX_GT10|simprim|vcomponentsX_GT11CLK|simprim|vcomponentsX_GT11|simprim|vcomponentsX_GT|simprim|vcomponentsX_IBUFDS_DLY_ADJ|simprim|vcomponentsX_IBUFDS|simprim|vcomponentsX_IBUF_DLY_ADJ|simprim|vcomponentsX_IDDR2|simprim|vcomponentsX_IDDR_2CLK|simprim|vcomponentsX_IDDR|simprim|vcomponentsX_IDELAYCTRL|simprim|vcomponentsX_IDELAY|simprim|vcomponentsX_INV|simprim|vcomponentsX_IODELAY|simprim|vcomponentsX_IPAD|simprim|vcomponentsX_ISERDES_NODELAY|simprim|vcomponentsX_ISERDES|simprim|vcomponentsX_KEEPER|simprim|vcomponentsX_LATCHE|simprim|vcomponentsX_LATCH|simprim|vcomponentsX_LUT2|simprim|vcomponentsX_LUT3|simprim|vcomponentsX_LUT4|simprim|vcomponentsX_LUT5|simprim|vcomponentsX_LUT6|simprim|vcomponentsX_LUT7|simprim|vcomponentsX_LUT8|simprim|vcomponentsX_MULT18X18SIO|simprim|vcomponentsX_MULT18X18S|simprim|vcomponentsX_MULT18X18|simprim|vcomponentsX_MUX2|simprim|vcomponentsX_MUXDDR|simprim|vcomponentsX_OBUFDS|simprim|vcomponentsX_OBUFTDS|simprim|vcomponentsX_OBUFT|simprim|vcomponentsX_OBUF|simprim|vcomponentsX_ODDR2|simprim|vcomponentsX_ODDR|simprim|vcomponentsX_ONE|simprim|vcomponentsX_OPAD|simprim|vcomponentsX_OR16|simprim|vcomponentsX_OR2|simprim|vcomponentsX_OR32|simprim|vcomponentsX_OR3|simprim|vcomponentsX_OR4|simprim|vcomponentsX_OR5|simprim|vcomponentsX_OR6|simprim|vcomponentsX_OR7|simprim|vcomponentsX_OR8|simprim|vcomponentsX_OR9|simprim|vcomponentsX_OSERDES|simprim|vcomponentsX_PD|simprim|vcomponentsX_PLL_ADV|simprim|vcomponentsX_PMCD|simprim|vcomponentsX_PPC405_ADV|simprim|vcomponentsX_PPC405|simprim|vcomponentsX_PU|simprim|vcomponentsX_RAM32M|simprim|vcomponentsX_RAM64M|simprim|vcomponentsX_RAMB16BWE|simprim|vcomponentsX_RAMB16_S18_S18|simprim|vcomponentsX_RAMB16_S18_S36|simprim|vcomponentsX_RAMB16_S18|simprim|vcomponentsX_RAMB16_S1_S18|simprim|vcomponentsX_RAMB16_S1_S1|simprim|vcomponentsX_RAMB16_S1_S2|simprim|vcomponentsX_RAMB16_S1_S36|simprim|vcomponentsX_RAMB16_S1_S4|simprim|vcomponentsX_RAMB16_S1_S9|simprim|vcomponentsX_RAMB16_S1|simprim|vcomponentsX_RAMB16_S2_S18|simprim|vcomponentsX_RAMB16_S2_S2|simprim|vcomponentsX_RAMB16_S2_S36|simprim|vcomponentsX_RAMB16_S2_S4|simprim|vcomponentsX_RAMB16_S2_S9|simprim|vcomponentsX_RAMB16_S2|simprim|vcomponentsX_RAMB16_S36_S36|simprim|vcomponentsX_RAMB16_S36|simprim|vcomponentsX_RAMB16_S4_S18|simprim|vcomponentsX_RAMB16_S4_S36|simprim|vcomponentsX_RAMB16_S4_S4|simprim|vcomponentsX_RAMB16_S4_S9|simprim|vcomponentsX_RAMB16_S4|simprim|vcomponentsX_RAMB16_S9_S18|simprim|vcomponentsX_RAMB16_S9_S36|simprim|vcomponentsX_RAMB16_S9_S9|simprim|vcomponentsX_RAMB16_S9|simprim|vcomponentsX_RAMB16|simprim|vcomponentsX_RAMB18SDP|simprim|vcomponentsX_RAMB18|simprim|vcomponentsX_RAMB36SDP_EXP|simprim|vcomponentsX_RAMB36_EXP|simprim|vcomponentsX_RAMB4_S16_S16|simprim|vcomponentsX_RAMB4_S16|simprim|vcomponentsX_RAMB4_S1_S16|simprim|vcomponentsX_RAMB4_S1_S1|simprim|vcomponentsX_RAMB4_S1_S2|simprim|vcomponentsX_RAMB4_S1_S4|simprim|vcomponentsX_RAMB4_S1_S8|simprim|vcomponentsX_RAMB4_S1|simprim|vcomponentsX_RAMB4_S2_S16|simprim|vcomponentsX_RAMB4_S2_S2|simprim|vcomponentsX_RAMB4_S2_S4|simprim|vcomponentsX_RAMB4_S2_S8|simprim|vcomponentsX_RAMB4_S2|simprim|vcomponentsX_RAMB4_S4_S16|simprim|vcomponentsX_RAMB4_S4_S4|simprim|vcomponentsX_RAMB4_S4_S8|simprim|vcomponentsX_RAMB4_S4|simprim|vcomponentsX_RAMB4_S8_S16|simprim|vcomponentsX_RAMB4_S8_S8|simprim|vcomponentsX_RAMB4_S8|simprim|vcomponentsX_RAMD128|simprim|vcomponentsX_RAMD16|simprim|vcomponentsX_RAMD32|simprim|vcomponentsX_RAMD64_ADV|simprim|vcomponentsX_RAMD64|simprim|vcomponentsX_RAMS128|simprim|vcomponentsX_RAMS16|simprim|vcomponentsX_RAMS256|simprim|vcomponentsX_RAMS32|simprim|vcomponentsX_RAMS64_ADV|simprim|vcomponentsX_RAMS64|simprim|vcomponentsX_ROCBUF|simprim|vcomponentsX_ROC|simprim|vcomponentsX_SFF|simprim|vcomponentsX_SRL16E|simprim|vcomponentsX_SRLC16E|simprim|vcomponentsX_SRLC32E|simprim|vcomponentsX_SUH|simprim|vcomponentsX_SYSMON|simprim|vcomponentsX_TOCBUF|simprim|vcomponentsX_TOC|simprim|vcomponentsX_TRI|simprim|vcomponentsX_UPAD|simprim|vcomponentsX_XOR16|simprim|vcomponentsX_XOR2|simprim|vcomponentsX_XOR32|simprim|vcomponentsX_XOR3|simprim|vcomponentsX_XOR4|simprim|vcomponentsX_XOR5|simprim|vcomponentsX_XOR6|simprim|vcomponentsX_XOR7|simprim|vcomponentsX_XOR8|simprim|vcomponentsX_ZERO|simprim|vcomponentsand2b1|unisim|vcomponentsand2b2|unisim|vcomponentsand2|unisim|vcomponentsand3b1|unisim|vcomponentsand3b2|unisim|vcomponentsand3b3|unisim|vcomponentsand3|unisim|vcomponentsand4b1|unisim|vcomponentsand4b2|unisim|vcomponentsand4b3|unisim|vcomponentsand4b4|unisim|vcomponentsand4|unisim|vcomponentsand5b1|unisim|vcomponentsand5b2|unisim|vcomponentsand5b3|unisim|vcomponentsand5b4|unisim|vcomponentsand5b5|unisim|vcomponentsand5|unisim|vcomponentsand6|unisim|vcomponentsand7|unisim|vcomponentsand8|unisim|vcomponentsbscan_fpgacore|unisim|vcomponentsbscan_spartan2|unisim|vcomponentsbscan_spartan3a|unisim|vcomponentsbscan_spartan3|unisim|vcomponentsbscan_virtex2|unisim|vcomponentsbscan_virtex4|unisim|vcomponentsbscan_virtex5|unisim|vcomponentsbscan_virtex|unisim|vcomponentsbufcf|unisim|vcomponentsbufe|unisim|vcomponentsbuffoe|unisim|vcomponentsbufgce_1|unisim|vcomponentsbufgce|unisim|vcomponentsbufgctrl|unisim|vcomponentsbufgdll|unisim|vcomponentsbufgmux_1|unisim|vcomponentsbufgmux_ctrl|unisim|vcomponentsbufgmux_virtex4|unisim|vcomponentsbufgmux|unisim|vcomponentsbufgp|unisim|vcomponentsbufgsr|unisim|vcomponentsbufgts|unisim|vcomponentsbufg|unisim|vcomponentsbufio|unisim|vcomponentsbufr|unisim|vcomponentsbuft|unisim|vcomponentsbuf|unisim|vcomponentscapture_fpgacore|unisim|vcomponentscapture_spartan2|unisim|vcomponentscapture_spartan3a|unisim|vcomponentscapture_spartan3|unisim|vcomponentscapture_virtex2|unisim|vcomponentscapture_virtex4|unisim|vcomponentscapture_virtex5|unisim|vcomponentscapture_virtex|unisim|vcomponentscarry4|unisim|vcomponentscfglut5|unisim|vcomponentsclk_div10rsd|unisim|vcomponentsclk_div10r|unisim|vcomponentsclk_div10sd|unisim|vcomponentsclk_div10|unisim|vcomponentsclk_div12rsd|unisim|vcomponentsclk_div12r|unisim|vcomponentsclk_div12sd|unisim|vcomponentsclk_div12|unisim|vcomponentsclk_div14rsd|unisim|vcomponentsclk_div14r|unisim|vcomponentsclk_div14sd|unisim|vcomponentsclk_div14|unisim|vcomponentsclk_div16rsd|unisim|vcomponentsclk_div16r|unisim|vcomponentsclk_div16sd|unisim|vcomponentsclk_div16|unisim|vcomponentsclk_div2rsd|unisim|vcomponentsclk_div2r|unisim|vcomponentsclk_div2sd|unisim|vcomponentsclk_div2|unisim|vcomponentsclk_div4rsd|unisim|vcomponentsclk_div4r|unisim|vcomponentsclk_div4sd|unisim|vcomponentsclk_div4|unisim|vcomponentsclk_div6rsd|unisim|vcomponentsclk_div6r|unisim|vcomponentsclk_div6sd|unisim|vcomponentsclk_div6|unisim|vcomponentsclk_div8rsd|unisim|vcomponentsclk_div8r|unisim|vcomponentsclk_div8sd|unisim|vcomponentsclk_div8|unisim|vcomponentsclkdlle|unisim|vcomponentsclkdllhf|unisim|vcomponentsclkdll|unisim|vcomponentsconfig|unisim|vcomponentscrc32|unisim|vcomponentscrc64|unisim|vcomponentsdcc_fpgacore|unisim|vcomponentsdcireset|unisim|vcomponentsdcm_adv|unisim|vcomponentsdcm_base|unisim|vcomponentsdcm_ps|unisim|vcomponentsdcm_sp|unisim|vcomponentsdcm|unisim|vcomponentsdna_port|unisim|vcomponentsdsp48e|unisim|vcomponentsdsp48|unisim|vcomponentsemac|unisim|vcomponentsfd_1|unisim|vcomponentsfdc_1|unisim|vcomponentsfdce_1|unisim|vcomponentsfdce|unisim|vcomponentsfdcp_1|unisim|vcomponentsfdcpe_1|unisim|vcomponentsfdcpe|unisim|vcomponentsfdcpx1|unisim|vcomponentsfdcp|unisim|vcomponentsfdc|unisim|vcomponentsfddce|unisim|vcomponentsfddcpe|unisim|vcomponentsfddcp|unisim|vcomponentsfddc|unisim|vcomponentsfddpe|unisim|vcomponentsfddp|unisim|vcomponentsfddrcpe|unisim|vcomponentsfddrrse|unisim|vcomponentsfdd|unisim|vcomponentsfde_1|unisim|vcomponentsfde|unisim|vcomponentsfdp_1|unisim|vcomponentsfdpe_1|unisim|vcomponentsfdpe|unisim|vcomponentsfdp|unisim|vcomponentsfdr_1|unisim|vcomponentsfdre_1|unisim|vcomponentsfdre|unisim|vcomponentsfdrs_1|unisim|vcomponentsfdrse_1|unisim|vcomponentsfdrse|unisim|vcomponentsfdrs|unisim|vcomponentsfdr|unisim|vcomponentsfds_1|unisim|vcomponentsfdse_1|unisim|vcomponentsfdse|unisim|vcomponentsfds|unisim|vcomponentsfd|unisim|vcomponentsfifo16|unisim|vcomponentsfifo18_36|unisim|vcomponentsfifo18|unisim|vcomponentsfifo36_72_exp|unisim|vcomponentsfifo36_72|unisim|vcomponentsfifo36_exp|unisim|vcomponentsfifo36|unisim|vcomponentsfmap|unisim|vcomponentsframe_ecc_virtex4|unisim|vcomponentsframe_ecc_virtex5|unisim|vcomponentsftcp|unisim|vcomponentsftc|unisim|vcomponentsftp|unisim|vcomponentsgnd|unisim|vcomponentsgt10_10ge_4|unisim|vcomponentsgt10_10ge_8|unisim|vcomponentsgt10_10gfc_4|unisim|vcomponentsgt10_10gfc_8|unisim|vcomponentsgt10_aurora_1|unisim|vcomponentsgt10_aurora_2|unisim|vcomponentsgt10_aurora_4|unisim|vcomponentsgt10_aurorax_4|unisim|vcomponentsgt10_aurorax_8|unisim|vcomponentsgt10_custom|unisim|vcomponentsgt10_infiniband_1|unisim|vcomponentsgt10_infiniband_2|unisim|vcomponentsgt10_infiniband_4|unisim|vcomponentsgt10_oc192_4|unisim|vcomponentsgt10_oc192_8|unisim|vcomponentsgt10_oc48_1|unisim|vcomponentsgt10_oc48_2|unisim|vcomponentsgt10_oc48_4|unisim|vcomponentsgt10_pci_express_1|unisim|vcomponentsgt10_pci_express_2|unisim|vcomponentsgt10_pci_express_4|unisim|vcomponentsgt10_xaui_1|unisim|vcomponentsgt10_xaui_2|unisim|vcomponentsgt10_xaui_4|unisim|vcomponentsgt10|unisim|vcomponentsgt11_custom|unisim|vcomponentsgt11_dual|unisim|vcomponentsgt11clk_mgt|unisim|vcomponentsgt11clk|unisim|vcomponentsgt11|unisim|vcomponentsgt_aurora_1|unisim|vcomponentsgt_aurora_2|unisim|vcomponentsgt_aurora_4|unisim|vcomponentsgt_custom|unisim|vcomponentsgt_ethernet_1|unisim|vcomponentsgt_ethernet_2|unisim|vcomponentsgt_ethernet_4|unisim|vcomponentsgt_fibre_chan_1|unisim|vcomponentsgt_fibre_chan_2|unisim|vcomponentsgt_fibre_chan_4|unisim|vcomponentsgt_infiniband_1|unisim|vcomponentsgt_infiniband_2|unisim|vcomponentsgt_infiniband_4|unisim|vcomponentsgt_xaui_1|unisim|vcomponentsgt_xaui_2|unisim|vcomponentsgt_xaui_4|unisim|vcomponentsgt|unisim|vcomponentsibuf_agp|unisim|vcomponentsibuf_ctt|unisim|vcomponentsibuf_dly_adj|unisim|vcomponentsibuf_gtl_dci|unisim|vcomponentsibuf_gtlp_dci|unisim|vcomponentsibuf_gtlp|unisim|vcomponentsibuf_gtl|unisim|vcomponentsibuf_hstl_i_18|unisim|vcomponentsibuf_hstl_i_dci_18|unisim|vcomponentsibuf_hstl_i_dci|unisim|vcomponentsibuf_hstl_ii_18|unisim|vcomponentsibuf_hstl_ii_dci_18|unisim|vcomponentsibuf_hstl_ii_dci|unisim|vcomponentsibuf_hstl_iii_18|unisim|vcomponentsibuf_hstl_iii_dci_18|unisim|vcomponentsibuf_hstl_iii_dci|unisim|vcomponentsibuf_hstl_iii|unisim|vcomponentsibuf_hstl_ii|unisim|vcomponentsibuf_hstl_iv_18|unisim|vcomponentsibuf_hstl_iv_dci_18|unisim|vcomponentsibuf_hstl_iv_dci|unisim|vcomponentsibuf_hstl_iv|unisim|vcomponentsibuf_hstl_i|unisim|vcomponentsibuf_lvcmos12|unisim|vcomponentsibuf_lvcmos15|unisim|vcomponentsibuf_lvcmos18|unisim|vcomponentsibuf_lvcmos25|unisim|vcomponentsibuf_lvcmos2|unisim|vcomponentsibuf_lvcmos33|unisim|vcomponentsibuf_lvdci_15|unisim|vcomponentsibuf_lvdci_18|unisim|vcomponentsibuf_lvdci_25|unisim|vcomponentsibuf_lvdci_33|unisim|vcomponentsibuf_lvdci_dv2_15|unisim|vcomponentsibuf_lvdci_dv2_18|unisim|vcomponentsibuf_lvdci_dv2_25|unisim|vcomponentsibuf_lvdci_dv2_33|unisim|vcomponentsibuf_lvds|unisim|vcomponentsibuf_lvpecl|unisim|vcomponentsibuf_lvttl|unisim|vcomponentsibuf_pci33_3|unisim|vcomponentsibuf_pci33_5|unisim|vcomponentsibuf_pci66_3|unisim|vcomponentsibuf_pcix66_3|unisim|vcomponentsibuf_pcix|unisim|vcomponentsibuf_sstl18_i_dci|unisim|vcomponentsibuf_sstl18_ii_dci|unisim|vcomponentsibuf_sstl18_ii|unisim|vcomponentsibuf_sstl18_i|unisim|vcomponentsibuf_sstl2_i_dci|unisim|vcomponentsibuf_sstl2_ii_dci|unisim|vcomponentsibuf_sstl2_ii|unisim|vcomponentsibuf_sstl2_i|unisim|vcomponentsibuf_sstl3_i_dci|unisim|vcomponentsibuf_sstl3_ii_dci|unisim|vcomponentsibuf_sstl3_ii|unisim|vcomponentsibuf_sstl3_i|unisim|vcomponentsibufds_blvds_25|unisim|vcomponentsibufds_diff_out|unisim|vcomponentsibufds_dly_adj|unisim|vcomponentsibufds_ldt_25|unisim|vcomponentsibufds_lvds_25_dci|unisim|vcomponentsibufds_lvds_25|unisim|vcomponentsibufds_lvds_33_dci|unisim|vcomponentsibufds_lvds_33|unisim|vcomponentsibufds_lvdsext_25_dci|unisim|vcomponentsibufds_lvdsext_25|unisim|vcomponentsibufds_lvdsext_33_dci|unisim|vcomponentsibufds_lvdsext_33|unisim|vcomponentsibufds_lvpecl_25|unisim|vcomponentsibufds_lvpecl_33|unisim|vcomponentsibufds_ulvds_25|unisim|vcomponentsibufds|unisim|vcomponentsibufg_agp|unisim|vcomponentsibufg_ctt|unisim|vcomponentsibufg_gtl_dci|unisim|vcomponentsibufg_gtlp_dci|unisim|vcomponentsibufg_gtlp|unisim|vcomponentsibufg_gtl|unisim|vcomponentsibufg_hstl_i_18|unisim|vcomponentsibufg_hstl_i_dci_18|unisim|vcomponentsibufg_hstl_i_dci|unisim|vcomponentsibufg_hstl_ii_18|unisim|vcomponentsibufg_hstl_ii_dci_18|unisim|vcomponentsibufg_hstl_ii_dci|unisim|vcomponentsibufg_hstl_iii_18|unisim|vcomponentsibufg_hstl_iii_dci_18|unisim|vcomponentsibufg_hstl_iii_dci|unisim|vcomponentsibufg_hstl_iii|unisim|vcomponentsibufg_hstl_ii|unisim|vcomponentsibufg_hstl_iv_18|unisim|vcomponentsibufg_hstl_iv_dci_18|unisim|vcomponentsibufg_hstl_iv_dci|unisim|vcomponentsibufg_hstl_iv|unisim|vcomponentsibufg_hstl_i|unisim|vcomponentsibufg_lvcmos12|unisim|vcomponentsibufg_lvcmos15|unisim|vcomponentsibufg_lvcmos18|unisim|vcomponentsibufg_lvcmos25|unisim|vcomponentsibufg_lvcmos2|unisim|vcomponentsibufg_lvcmos33|unisim|vcomponentsibufg_lvdci_15|unisim|vcomponentsibufg_lvdci_18|unisim|vcomponentsibufg_lvdci_25|unisim|vcomponentsibufg_lvdci_33|unisim|vcomponentsibufg_lvdci_dv2_15|unisim|vcomponentsibufg_lvdci_dv2_18|unisim|vcomponentsibufg_lvdci_dv2_25|unisim|vcomponentsibufg_lvdci_dv2_33|unisim|vcomponentsibufg_lvds|unisim|vcomponentsibufg_lvpecl|unisim|vcomponentsibufg_lvttl|unisim|vcomponentsibufg_pci33_3|unisim|vcomponentsibufg_pci33_5|unisim|vcomponentsibufg_pci66_3|unisim|vcomponentsibufg_pcix66_3|unisim|vcomponentsibufg_pcix|unisim|vcomponentsibufg_sstl18_i_dci|unisim|vcomponentsibufg_sstl18_ii_dci|unisim|vcomponentsibufg_sstl18_ii|unisim|vcomponentsibufg_sstl18_i|unisim|vcomponentsibufg_sstl2_i_dci|unisim|vcomponentsibufg_sstl2_ii_dci|unisim|vcomponentsibufg_sstl2_ii|unisim|vcomponentsibufg_sstl2_i|unisim|vcomponentsibufg_sstl3_i_dci|unisim|vcomponentsibufg_sstl3_ii_dci|unisim|vcomponentsibufg_sstl3_ii|unisim|vcomponentsibufg_sstl3_i|unisim|vcomponentsibufgds_blvds_25|unisim|vcomponentsibufgds_diff_out|unisim|vcomponentsibufgds_ldt_25|unisim|vcomponentsibufgds_lvds_25_dci|unisim|vcomponentsibufgds_lvds_25|unisim|vcomponentsibufgds_lvds_33_dci|unisim|vcomponentsibufgds_lvds_33|unisim|vcomponentsibufgds_lvdsext_25_dci|unisim|vcomponentsibufgds_lvdsext_25|unisim|vcomponentsibufgds_lvdsext_33_dci|unisim|vcomponentsibufgds_lvdsext_33|unisim|vcomponentsibufgds_lvpecl_25|unisim|vcomponentsibufgds_lvpecl_33|unisim|vcomponentsibufgds_ulvds_25|unisim|vcomponentsibufgds|unisim|vcomponentsibufg|unisim|vcomponentsibuf|unisim|vcomponentsicap_spartan3a|unisim|vcomponentsicap_virtex2|unisim|vcomponentsicap_virtex4|unisim|vcomponentsicap_virtex5|unisim|vcomponentsiddr2|unisim|vcomponentsiddr_2clk|unisim|vcomponentsiddr|unisim|vcomponentsidelayctrl|unisim|vcomponentsidelay|unisim|vcomponentsifddrcpe|unisim|vcomponentsifddrrse|unisim|vcomponentsild|unisim|vcomponentsinv|unisim|vcomponentsiobuf_agp|unisim|vcomponentsiobuf_ctt|unisim|vcomponentsiobuf_f_12|unisim|vcomponentsiobuf_f_16|unisim|vcomponentsiobuf_f_24|unisim|vcomponentsiobuf_f_2|unisim|vcomponentsiobuf_f_4|unisim|vcomponentsiobuf_f_6|unisim|vcomponentsiobuf_f_8|unisim|vcomponentsiobuf_gtl_dci|unisim|vcomponentsiobuf_gtlp_dci|unisim|vcomponentsiobuf_gtlp|unisim|vcomponentsiobuf_gtl|unisim|vcomponentsiobuf_hstl_i_18|unisim|vcomponentsiobuf_hstl_ii_18|unisim|vcomponentsiobuf_hstl_ii_dci_18|unisim|vcomponentsiobuf_hstl_ii_dci|unisim|vcomponentsiobuf_hstl_iii_18|unisim|vcomponentsiobuf_hstl_iii|unisim|vcomponentsiobuf_hstl_ii|unisim|vcomponentsiobuf_hstl_iv_18|unisim|vcomponentsiobuf_hstl_iv_dci_18|unisim|vcomponentsiobuf_hstl_iv_dci|unisim|vcomponentsiobuf_hstl_iv|unisim|vcomponentsiobuf_hstl_i|unisim|vcomponentsiobuf_lvcmos12_f_2|unisim|vcomponentsiobuf_lvcmos12_f_4|unisim|vcomponentsiobuf_lvcmos12_f_6|unisim|vcomponentsiobuf_lvcmos12_f_8|unisim|vcomponentsiobuf_lvcmos12_s_2|unisim|vcomponentsiobuf_lvcmos12_s_4|unisim|vcomponentsiobuf_lvcmos12_s_6|unisim|vcomponentsiobuf_lvcmos12_s_8|unisim|vcomponentsiobuf_lvcmos12|unisim|vcomponentsiobuf_lvcmos15_f_12|unisim|vcomponentsiobuf_lvcmos15_f_16|unisim|vcomponentsiobuf_lvcmos15_f_2|unisim|vcomponentsiobuf_lvcmos15_f_4|unisim|vcomponentsiobuf_lvcmos15_f_6|unisim|vcomponentsiobuf_lvcmos15_f_8|unisim|vcomponentsiobuf_lvcmos15_s_12|unisim|vcomponentsiobuf_lvcmos15_s_16|unisim|vcomponentsiobuf_lvcmos15_s_2|unisim|vcomponentsiobuf_lvcmos15_s_4|unisim|vcomponentsiobuf_lvcmos15_s_6|unisim|vcomponentsiobuf_lvcmos15_s_8|unisim|vcomponentsiobuf_lvcmos15|unisim|vcomponentsiobuf_lvcmos18_f_12|unisim|vcomponentsiobuf_lvcmos18_f_16|unisim|vcomponentsiobuf_lvcmos18_f_2|unisim|vcomponentsiobuf_lvcmos18_f_4|unisim|vcomponentsiobuf_lvcmos18_f_6|unisim|vcomponentsiobuf_lvcmos18_f_8|unisim|vcomponentsiobuf_lvcmos18_s_12|unisim|vcomponentsiobuf_lvcmos18_s_16|unisim|vcomponentsiobuf_lvcmos18_s_2|unisim|vcomponentsiobuf_lvcmos18_s_4|unisim|vcomponentsiobuf_lvcmos18_s_6|unisim|vcomponentsiobuf_lvcmos18_s_8|unisim|vcomponentsiobuf_lvcmos18|unisim|vcomponentsiobuf_lvcmos25_f_12|unisim|vcomponentsiobuf_lvcmos25_f_16|unisim|vcomponentsiobuf_lvcmos25_f_24|unisim|vcomponentsiobuf_lvcmos25_f_2|unisim|vcomponentsiobuf_lvcmos25_f_4|unisim|vcomponentsiobuf_lvcmos25_f_6|unisim|vcomponentsiobuf_lvcmos25_f_8|unisim|vcomponentsiobuf_lvcmos25_s_12|unisim|vcomponentsiobuf_lvcmos25_s_16|unisim|vcomponentsiobuf_lvcmos25_s_24|unisim|vcomponentsiobuf_lvcmos25_s_2|unisim|vcomponentsiobuf_lvcmos25_s_4|unisim|vcomponentsiobuf_lvcmos25_s_6|unisim|vcomponentsiobuf_lvcmos25_s_8|unisim|vcomponentsiobuf_lvcmos25|unisim|vcomponentsiobuf_lvcmos2|unisim|vcomponentsiobuf_lvcmos33_f_12|unisim|vcomponentsiobuf_lvcmos33_f_16|unisim|vcomponentsiobuf_lvcmos33_f_24|unisim|vcomponentsiobuf_lvcmos33_f_2|unisim|vcomponentsiobuf_lvcmos33_f_4|unisim|vcomponentsiobuf_lvcmos33_f_6|unisim|vcomponentsiobuf_lvcmos33_f_8|unisim|vcomponentsiobuf_lvcmos33_s_12|unisim|vcomponentsiobuf_lvcmos33_s_16|unisim|vcomponentsiobuf_lvcmos33_s_24|unisim|vcomponentsiobuf_lvcmos33_s_2|unisim|vcomponentsiobuf_lvcmos33_s_4|unisim|vcomponentsiobuf_lvcmos33_s_6|unisim|vcomponentsiobuf_lvcmos33_s_8|unisim|vcomponentsiobuf_lvcmos33|unisim|vcomponentsiobuf_lvdci_15|unisim|vcomponentsiobuf_lvdci_18|unisim|vcomponentsiobuf_lvdci_25|unisim|vcomponentsiobuf_lvdci_33|unisim|vcomponentsiobuf_lvdci_dv2_15|unisim|vcomponentsiobuf_lvdci_dv2_18|unisim|vcomponentsiobuf_lvdci_dv2_25|unisim|vcomponentsiobuf_lvdci_dv2_33|unisim|vcomponentsiobuf_lvds|unisim|vcomponentsiobuf_lvpecl|unisim|vcomponentsiobuf_lvttl_f_12|unisim|vcomponentsiobuf_lvttl_f_16|unisim|vcomponentsiobuf_lvttl_f_24|unisim|vcomponentsiobuf_lvttl_f_2|unisim|vcomponentsiobuf_lvttl_f_4|unisim|vcomponentsiobuf_lvttl_f_6|unisim|vcomponentsiobuf_lvttl_f_8|unisim|vcomponentsiobuf_lvttl_s_12|unisim|vcomponentsiobuf_lvttl_s_16|unisim|vcomponentsiobuf_lvttl_s_24|unisim|vcomponentsiobuf_lvttl_s_2|unisim|vcomponentsiobuf_lvttl_s_4|unisim|vcomponentsiobuf_lvttl_s_6|unisim|vcomponentsiobuf_lvttl_s_8|unisim|vcomponentsiobuf_lvttl|unisim|vcomponentsiobuf_pci33_3|unisim|vcomponentsiobuf_pci33_5|unisim|vcomponentsiobuf_pci66_3|unisim|vcomponentsiobuf_pcix66_3|unisim|vcomponentsiobuf_pcix|unisim|vcomponentsiobuf_s_12|unisim|vcomponentsiobuf_s_16|unisim|vcomponentsiobuf_s_24|unisim|vcomponentsiobuf_s_2|unisim|vcomponentsiobuf_s_4|unisim|vcomponentsiobuf_s_6|unisim|vcomponentsiobuf_s_8|unisim|vcomponentsiobuf_sstl18_ii_dci|unisim|vcomponentsiobuf_sstl18_ii|unisim|vcomponentsiobuf_sstl18_i|unisim|vcomponentsiobuf_sstl2_ii_dci|unisim|vcomponentsiobuf_sstl2_ii|unisim|vcomponentsiobuf_sstl2_i|unisim|vcomponentsiobuf_sstl3_ii_dci|unisim|vcomponentsiobuf_sstl3_ii|unisim|vcomponentsiobuf_sstl3_i|unisim|vcomponentsiobufds_blvds_25|unisim|vcomponentsiobufds|unisim|vcomponentsiobufe_f|unisim|vcomponentsiobufe_s|unisim|vcomponentsiobufe|unisim|vcomponentsiobuf|unisim|vcomponentsiodelay|unisim|vcomponentsiserdes_nodelay|unisim|vcomponentsiserdes|unisim|vcomponentsjtagppc|unisim|vcomponentskeeper|unisim|vcomponentskeep|unisim|vcomponentskey_clear|unisim|vcomponentsld_1|unisim|vcomponentsldc_1|unisim|vcomponentsldce_1|unisim|vcomponentsldce|unisim|vcomponentsldcp_1|unisim|vcomponentsldcpe_1|unisim|vcomponentsldcpe|unisim|vcomponentsldcp|unisim|vcomponentsldc|unisim|vcomponentslde_1|unisim|vcomponentslde|unisim|vcomponentsldg|unisim|vcomponentsldp_1|unisim|vcomponentsldpe_1|unisim|vcomponentsldpe|unisim|vcomponentsldp|unisim|vcomponentsld|unisim|vcomponentslut1_d|unisim|vcomponentslut1_l|unisim|vcomponentslut1|unisim|vcomponentslut2_d|unisim|vcomponentslut2_l|unisim|vcomponentslut2|unisim|vcomponentslut3_d|unisim|vcomponentslut3_l|unisim|vcomponentslut3|unisim|vcomponentslut4_d|unisim|vcomponentslut4_l|unisim|vcomponentslut4|unisim|vcomponentslut5_d|unisim|vcomponentslut5_l|unisim|vcomponentslut5|unisim|vcomponentslut6_d|unisim|vcomponentslut6_l|unisim|vcomponentslut6|unisim|vcomponentsmerge|unisim|vcomponentsmin_off|unisim|vcomponentsmult18x18sio|unisim|vcomponentsmult18x18s|unisim|vcomponentsmult18x18|unisim|vcomponentsmult_and|unisim|vcomponentsmuxcy_d|unisim|vcomponentsmuxcy_l|unisim|vcomponentsmuxcy|unisim|vcomponentsmuxf5_d|unisim|vcomponentsmuxf5_l|unisim|vcomponentsmuxf5|unisim|vcomponentsmuxf6_d|unisim|vcomponentsmuxf6_l|unisim|vcomponentsmuxf6|unisim|vcomponentsmuxf7_d|unisim|vcomponentsmuxf7_l|unisim|vcomponentsmuxf7|unisim|vcomponentsmuxf8_d|unisim|vcomponentsmuxf8_l|unisim|vcomponentsmuxf8|unisim|vcomponentsnand2b1|unisim|vcomponentsnand2b2|unisim|vcomponentsnand2|unisim|vcomponentsnand3b1|unisim|vcomponentsnand3b2|unisim|vcomponentsnand3b3|unisim|vcomponentsnand3|unisim|vcomponentsnand4b1|unisim|vcomponentsnand4b2|unisim|vcomponentsnand4b3|unisim|vcomponentsnand4b4|unisim|vcomponentsnand4|unisim|vcomponentsnand5b1|unisim|vcomponentsnand5b2|unisim|vcomponentsnand5b3|unisim|vcomponentsnand5b4|unisim|vcomponentsnand5b5|unisim|vcomponentsnand5|unisim|vcomponentsnor2b1|unisim|vcomponentsnor2b2|unisim|vcomponentsnor2|unisim|vcomponentsnor3b1|unisim|vcomponentsnor3b2|unisim|vcomponentsnor3b3|unisim|vcomponentsnor3|unisim|vcomponentsnor4b1|unisim|vcomponentsnor4b2|unisim|vcomponentsnor4b3|unisim|vcomponentsnor4b4|unisim|vcomponentsnor4|unisim|vcomponentsnor5b1|unisim|vcomponentsnor5b2|unisim|vcomponentsnor5b3|unisim|vcomponentsnor5b4|unisim|vcomponentsnor5b5|unisim|vcomponentsnor5|unisim|vcomponentsobuf_agp|unisim|vcomponentsobuf_ctt|unisim|vcomponentsobuf_f_12|unisim|vcomponentsobuf_f_16|unisim|vcomponentsobuf_f_24|unisim|vcomponentsobuf_f_2|unisim|vcomponentsobuf_f_4|unisim|vcomponentsobuf_f_6|unisim|vcomponentsobuf_f_8|unisim|vcomponentsobuf_gtl_dci|unisim|vcomponentsobuf_gtlp_dci|unisim|vcomponentsobuf_gtlp|unisim|vcomponentsobuf_gtl|unisim|vcomponentsobuf_hstl_i_18|unisim|vcomponentsobuf_hstl_i_dci_18|unisim|vcomponentsobuf_hstl_i_dci|unisim|vcomponentsobuf_hstl_ii_18|unisim|vcomponentsobuf_hstl_ii_dci_18|unisim|vcomponentsobuf_hstl_ii_dci|unisim|vcomponentsobuf_hstl_iii_18|unisim|vcomponentsobuf_hstl_iii_dci_18|unisim|vcomponentsobuf_hstl_iii_dci|unisim|vcomponentsobuf_hstl_iii|unisim|vcomponentsobuf_hstl_ii|unisim|vcomponentsobuf_hstl_iv_18|unisim|vcomponentsobuf_hstl_iv_dci_18|unisim|vcomponentsobuf_hstl_iv_dci|unisim|vcomponentsobuf_hstl_iv|unisim|vcomponentsobuf_hstl_i|unisim|vcomponentsobuf_lvcmos12_f_2|unisim|vcomponentsobuf_lvcmos12_f_4|unisim|vcomponentsobuf_lvcmos12_f_6|unisim|vcomponentsobuf_lvcmos12_f_8|unisim|vcomponentsobuf_lvcmos12_s_2|unisim|vcomponentsobuf_lvcmos12_s_4|unisim|vcomponentsobuf_lvcmos12_s_6|unisim|vcomponentsobuf_lvcmos12_s_8|unisim|vcomponentsobuf_lvcmos12|unisim|vcomponentsobuf_lvcmos15_f_12|unisim|vcomponentsobuf_lvcmos15_f_16|unisim|vcomponentsobuf_lvcmos15_f_2|unisim|vcomponentsobuf_lvcmos15_f_4|unisim|vcomponentsobuf_lvcmos15_f_6|unisim|vcomponentsobuf_lvcmos15_f_8|unisim|vcomponentsobuf_lvcmos15_s_12|unisim|vcomponentsobuf_lvcmos15_s_16|unisim|vcomponentsobuf_lvcmos15_s_2|unisim|vcomponentsobuf_lvcmos15_s_4|unisim|vcomponentsobuf_lvcmos15_s_6|unisim|vcomponentsobuf_lvcmos15_s_8|unisim|vcomponentsobuf_lvcmos15|unisim|vcomponentsobuf_lvcmos18_f_12|unisim|vcomponentsobuf_lvcmos18_f_16|unisim|vcomponentsobuf_lvcmos18_f_2|unisim|vcomponentsobuf_lvcmos18_f_4|unisim|vcomponentsobuf_lvcmos18_f_6|unisim|vcomponentsobuf_lvcmos18_f_8|unisim|vcomponentsobuf_lvcmos18_s_12|unisim|vcomponentsobuf_lvcmos18_s_16|unisim|vcomponentsobuf_lvcmos18_s_2|unisim|vcomponentsobuf_lvcmos18_s_4|unisim|vcomponentsobuf_lvcmos18_s_6|unisim|vcomponentsobuf_lvcmos18_s_8|unisim|vcomponentsobuf_lvcmos18|unisim|vcomponentsobuf_lvcmos25_f_12|unisim|vcomponentsobuf_lvcmos25_f_16|unisim|vcomponentsobuf_lvcmos25_f_24|unisim|vcomponentsobuf_lvcmos25_f_2|unisim|vcomponentsobuf_lvcmos25_f_4|unisim|vcomponentsobuf_lvcmos25_f_6|unisim|vcomponentsobuf_lvcmos25_f_8|unisim|vcomponentsobuf_lvcmos25_s_12|unisim|vcomponentsobuf_lvcmos25_s_16|unisim|vcomponentsobuf_lvcmos25_s_24|unisim|vcomponentsobuf_lvcmos25_s_2|unisim|vcomponentsobuf_lvcmos25_s_4|unisim|vcomponentsobuf_lvcmos25_s_6|unisim|vcomponentsobuf_lvcmos25_s_8|unisim|vcomponentsobuf_lvcmos25|unisim|vcomponentsobuf_lvcmos2|unisim|vcomponentsobuf_lvcmos33_f_12|unisim|vcomponentsobuf_lvcmos33_f_16|unisim|vcomponentsobuf_lvcmos33_f_24|unisim|vcomponentsobuf_lvcmos33_f_2|unisim|vcomponentsobuf_lvcmos33_f_4|unisim|vcomponentsobuf_lvcmos33_f_6|unisim|vcomponentsobuf_lvcmos33_f_8|unisim|vcomponentsobuf_lvcmos33_s_12|unisim|vcomponentsobuf_lvcmos33_s_16|unisim|vcomponentsobuf_lvcmos33_s_24|unisim|vcomponentsobuf_lvcmos33_s_2|unisim|vcomponentsobuf_lvcmos33_s_4|unisim|vcomponentsobuf_lvcmos33_s_6|unisim|vcomponentsobuf_lvcmos33_s_8|unisim|vcomponentsobuf_lvcmos33|unisim|vcomponentsobuf_lvdci_15|unisim|vcomponentsobuf_lvdci_18|unisim|vcomponentsobuf_lvdci_25|unisim|vcomponentsobuf_lvdci_33|unisim|vcomponentsobuf_lvdci_dv2_15|unisim|vcomponentsobuf_lvdci_dv2_18|unisim|vcomponentsobuf_lvdci_dv2_25|unisim|vcomponentsobuf_lvdci_dv2_33|unisim|vcomponentsobuf_lvds|unisim|vcomponentsobuf_lvpecl|unisim|vcomponentsobuf_lvttl_f_12|unisim|vcomponentsobuf_lvttl_f_16|unisim|vcomponentsobuf_lvttl_f_24|unisim|vcomponentsobuf_lvttl_f_2|unisim|vcomponentsobuf_lvttl_f_4|unisim|vcomponentsobuf_lvttl_f_6|unisim|vcomponentsobuf_lvttl_f_8|unisim|vcomponentsobuf_lvttl_s_12|unisim|vcomponentsobuf_lvttl_s_16|unisim|vcomponentsobuf_lvttl_s_24|unisim|vcomponentsobuf_lvttl_s_2|unisim|vcomponentsobuf_lvttl_s_4|unisim|vcomponentsobuf_lvttl_s_6|unisim|vcomponentsobuf_lvttl_s_8|unisim|vcomponentsobuf_lvttl|unisim|vcomponentsobuf_pci33_3|unisim|vcomponentsobuf_pci33_5|unisim|vcomponentsobuf_pci66_3|unisim|vcomponentsobuf_pcix66_3|unisim|vcomponentsobuf_pcix|unisim|vcomponentsobuf_s_12|unisim|vcomponentsobuf_s_16|unisim|vcomponentsobuf_s_24|unisim|vcomponentsobuf_s_2|unisim|vcomponentsobuf_s_4|unisim|vcomponentsobuf_s_6|unisim|vcomponentsobuf_s_8|unisim|vcomponentsobuf_sstl18_i_dci|unisim|vcomponentsobuf_sstl18_ii_dci|unisim|vcomponentsobuf_sstl18_ii|unisim|vcomponentsobuf_sstl18_i|unisim|vcomponentsobuf_sstl2_i_dci|unisim|vcomponentsobuf_sstl2_ii_dci|unisim|vcomponentsobuf_sstl2_ii|unisim|vcomponentsobuf_sstl2_i|unisim|vcomponentsobuf_sstl3_i_dci|unisim|vcomponentsobuf_sstl3_ii_dci|unisim|vcomponentsobuf_sstl3_ii|unisim|vcomponentsobuf_sstl3_i|unisim|vcomponentsobufds_blvds_25|unisim|vcomponentsobufds_ldt_25|unisim|vcomponentsobufds_lvds_25|unisim|vcomponentsobufds_lvds_33|unisim|vcomponentsobufds_lvdsext_25|unisim|vcomponentsobufds_lvdsext_33|unisim|vcomponentsobufds_lvpecl_25|unisim|vcomponentsobufds_lvpecl_33|unisim|vcomponentsobufds_ulvds_25|unisim|vcomponentsobufds|unisim|vcomponentsobufe|unisim|vcomponentsobuft_agp|unisim|vcomponentsobuft_ctt|unisim|vcomponentsobuft_f_12|unisim|vcomponentsobuft_f_16|unisim|vcomponentsobuft_f_24|unisim|vcomponentsobuft_f_2|unisim|vcomponentsobuft_f_4|unisim|vcomponentsobuft_f_6|unisim|vcomponentsobuft_f_8|unisim|vcomponentsobuft_gtl_dci|unisim|vcomponentsobuft_gtlp_dci|unisim|vcomponentsobuft_gtlp|unisim|vcomponentsobuft_gtl|unisim|vcomponentsobuft_hstl_i_18|unisim|vcomponentsobuft_hstl_i_dci_18|unisim|vcomponentsobuft_hstl_i_dci|unisim|vcomponentsobuft_hstl_ii_18|unisim|vcomponentsobuft_hstl_ii_dci_18|unisim|vcomponentsobuft_hstl_ii_dci|unisim|vcomponentsobuft_hstl_iii_18|unisim|vcomponentsobuft_hstl_iii_dci_18|unisim|vcomponentsobuft_hstl_iii_dci|unisim|vcomponentsobuft_hstl_iii|unisim|vcomponentsobuft_hstl_ii|unisim|vcomponentsobuft_hstl_iv_18|unisim|vcomponentsobuft_hstl_iv_dci_18|unisim|vcomponentsobuft_hstl_iv_dci|unisim|vcomponentsobuft_hstl_iv|unisim|vcomponentsobuft_hstl_i|unisim|vcomponentsobuft_lvcmos12_f_2|unisim|vcomponentsobuft_lvcmos12_f_4|unisim|vcomponentsobuft_lvcmos12_f_6|unisim|vcomponentsobuft_lvcmos12_f_8|unisim|vcomponentsobuft_lvcmos12_s_2|unisim|vcomponentsobuft_lvcmos12_s_4|unisim|vcomponentsobuft_lvcmos12_s_6|unisim|vcomponentsobuft_lvcmos12_s_8|unisim|vcomponentsobuft_lvcmos12|unisim|vcomponentsobuft_lvcmos15_f_12|unisim|vcomponentsobuft_lvcmos15_f_16|unisim|vcomponentsobuft_lvcmos15_f_2|unisim|vcomponentsobuft_lvcmos15_f_4|unisim|vcomponentsobuft_lvcmos15_f_6|unisim|vcomponentsobuft_lvcmos15_f_8|unisim|vcomponentsobuft_lvcmos15_s_12|unisim|vcomponentsobuft_lvcmos15_s_16|unisim|vcomponentsobuft_lvcmos15_s_2|unisim|vcomponentsobuft_lvcmos15_s_4|unisim|vcomponentsobuft_lvcmos15_s_6|unisim|vcomponentsobuft_lvcmos15_s_8|unisim|vcomponentsobuft_lvcmos15|unisim|vcomponentsobuft_lvcmos18_f_12|unisim|vcomponentsobuft_lvcmos18_f_16|unisim|vcomponentsobuft_lvcmos18_f_2|unisim|vcomponentsobuft_lvcmos18_f_4|unisim|vcomponentsobuft_lvcmos18_f_6|unisim|vcomponentsobuft_lvcmos18_f_8|unisim|vcomponentsobuft_lvcmos18_s_12|unisim|vcomponentsobuft_lvcmos18_s_16|unisim|vcomponentsobuft_lvcmos18_s_2|unisim|vcomponentsobuft_lvcmos18_s_4|unisim|vcomponentsobuft_lvcmos18_s_6|unisim|vcomponentsobuft_lvcmos18_s_8|unisim|vcomponentsobuft_lvcmos18|unisim|vcomponentsobuft_lvcmos25_f_12|unisim|vcomponentsobuft_lvcmos25_f_16|unisim|vcomponentsobuft_lvcmos25_f_24|unisim|vcomponentsobuft_lvcmos25_f_2|unisim|vcomponentsobuft_lvcmos25_f_4|unisim|vcomponentsobuft_lvcmos25_f_6|unisim|vcomponentsobuft_lvcmos25_f_8|unisim|vcomponentsobuft_lvcmos25_s_12|unisim|vcomponentsobuft_lvcmos25_s_16|unisim|vcomponentsobuft_lvcmos25_s_24|unisim|vcomponentsobuft_lvcmos25_s_2|unisim|vcomponentsobuft_lvcmos25_s_4|unisim|vcomponentsobuft_lvcmos25_s_6|unisim|vcomponentsobuft_lvcmos25_s_8|unisim|vcomponentsobuft_lvcmos25|unisim|vcomponentsobuft_lvcmos2|unisim|vcomponentsobuft_lvcmos33_f_12|unisim|vcomponentsobuft_lvcmos33_f_16|unisim|vcomponentsobuft_lvcmos33_f_24|unisim|vcomponentsobuft_lvcmos33_f_2|unisim|vcomponentsobuft_lvcmos33_f_4|unisim|vcomponentsobuft_lvcmos33_f_6|unisim|vcomponentsobuft_lvcmos33_f_8|unisim|vcomponentsobuft_lvcmos33_s_12|unisim|vcomponentsobuft_lvcmos33_s_16|unisim|vcomponentsobuft_lvcmos33_s_24|unisim|vcomponentsobuft_lvcmos33_s_2|unisim|vcomponentsobuft_lvcmos33_s_4|unisim|vcomponentsobuft_lvcmos33_s_6|unisim|vcomponentsobuft_lvcmos33_s_8|unisim|vcomponentsobuft_lvcmos33|unisim|vcomponentsobuft_lvdci_15|unisim|vcomponentsobuft_lvdci_18|unisim|vcomponentsobuft_lvdci_25|unisim|vcomponentsobuft_lvdci_33|unisim|vcomponentsobuft_lvdci_dv2_15|unisim|vcomponentsobuft_lvdci_dv2_18|unisim|vcomponentsobuft_lvdci_dv2_25|unisim|vcomponentsobuft_lvdci_dv2_33|unisim|vcomponentsobuft_lvds|unisim|vcomponentsobuft_lvpecl|unisim|vcomponentsobuft_lvttl_f_12|unisim|vcomponentsobuft_lvttl_f_16|unisim|vcomponentsobuft_lvttl_f_24|unisim|vcomponentsobuft_lvttl_f_2|unisim|vcomponentsobuft_lvttl_f_4|unisim|vcomponentsobuft_lvttl_f_6|unisim|vcomponentsobuft_lvttl_f_8|unisim|vcomponentsobuft_lvttl_s_12|unisim|vcomponentsobuft_lvttl_s_16|unisim|vcomponentsobuft_lvttl_s_24|unisim|vcomponentsobuft_lvttl_s_2|unisim|vcomponentsobuft_lvttl_s_4|unisim|vcomponentsobuft_lvttl_s_6|unisim|vcomponentsobuft_lvttl_s_8|unisim|vcomponentsobuft_lvttl|unisim|vcomponentsobuft_pci33_3|unisim|vcomponentsobuft_pci33_5|unisim|vcomponentsobuft_pci66_3|unisim|vcomponentsobuft_pcix66_3|unisim|vcomponentsobuft_pcix|unisim|vcomponentsobuft_s_12|unisim|vcomponentsobuft_s_16|unisim|vcomponentsobuft_s_24|unisim|vcomponentsobuft_s_2|unisim|vcomponentsobuft_s_4|unisim|vcomponentsobuft_s_6|unisim|vcomponentsobuft_s_8|unisim|vcomponentsobuft_sstl18_i_dci|unisim|vcomponentsobuft_sstl18_ii_dci|unisim|vcomponentsobuft_sstl18_ii|unisim|vcomponentsobuft_sstl18_i|unisim|vcomponentsobuft_sstl2_i_dci|unisim|vcomponentsobuft_sstl2_ii_dci|unisim|vcomponentsobuft_sstl2_ii|unisim|vcomponentsobuft_sstl2_i|unisim|vcomponentsobuft_sstl3_i_dci|unisim|vcomponentsobuft_sstl3_ii_dci|unisim|vcomponentsobuft_sstl3_ii|unisim|vcomponentsobuft_sstl3_i|unisim|vcomponentsobuftds_blvds_25|unisim|vcomponentsobuftds_ldt_25|unisim|vcomponentsobuftds_lvds_25|unisim|vcomponentsobuftds_lvds_33|unisim|vcomponentsobuftds_lvdsext_25|unisim|vcomponentsobuftds_lvdsext_33|unisim|vcomponentsobuftds_lvpecl_25|unisim|vcomponentsobuftds_lvpecl_33|unisim|vcomponentsobuftds_ulvds_25|unisim|vcomponentsobuftds|unisim|vcomponentsobuft|unisim|vcomponentsobuf|unisim|vcomponentsoddr2|unisim|vcomponentsoddr|unisim|vcomponentsofddrcpe|unisim|vcomponentsofddrrse|unisim|vcomponentsofddrtcpe|unisim|vcomponentsofddrtrse|unisim|vcomponentsopt_off|unisim|vcomponentsopt_uim|unisim|vcomponentsor2b1|unisim|vcomponentsor2b2|unisim|vcomponentsor2|unisim|vcomponentsor3b1|unisim|vcomponentsor3b2|unisim|vcomponentsor3b3|unisim|vcomponentsor3|unisim|vcomponentsor4b1|unisim|vcomponentsor4b2|unisim|vcomponentsor4b3|unisim|vcomponentsor4b4|unisim|vcomponentsor4|unisim|vcomponentsor5b1|unisim|vcomponentsor5b2|unisim|vcomponentsor5b3|unisim|vcomponentsor5b4|unisim|vcomponentsor5b5|unisim|vcomponentsor5|unisim|vcomponentsor6|unisim|vcomponentsor7|unisim|vcomponentsor8|unisim|vcomponentsorcy|unisim|vcomponentsoserdes|unisim|vcomponentspll_adv|unisim|vcomponentspll_base|unisim|vcomponentspmcd|unisim|vcomponentsppc405_adv|unisim|vcomponentsppc405|unisim|vcomponentspulldown|unisim|vcomponentspullup|unisim|vcomponentsram128x1d|unisim|vcomponentsram128x1s_1|unisim|vcomponentsram128x1s|unisim|vcomponentsram16x1d_1|unisim|vcomponentsram16x1d|unisim|vcomponentsram16x1s_1|unisim|vcomponentsram16x1s|unisim|vcomponentsram16x2s|unisim|vcomponentsram16x4s|unisim|vcomponentsram16x8s|unisim|vcomponentsram256x1s|unisim|vcomponentsram32m|unisim|vcomponentsram32x1d_1|unisim|vcomponentsram32x1d|unisim|vcomponentsram32x1s_1|unisim|vcomponentsram32x1s|unisim|vcomponentsram32x2s|unisim|vcomponentsram32x4s|unisim|vcomponentsram32x8s|unisim|vcomponentsram64m|unisim|vcomponentsram64x1d_1|unisim|vcomponentsram64x1d|unisim|vcomponentsram64x1s_1|unisim|vcomponentsram64x1s|unisim|vcomponentsram64x2s|unisim|vcomponentsramb16_s18_s18|unisim|vcomponentsramb16_s18_s36|unisim|vcomponentsramb16_s18|unisim|vcomponentsramb16_s1_s18|unisim|vcomponentsramb16_s1_s1|unisim|vcomponentsramb16_s1_s2|unisim|vcomponentsramb16_s1_s36|unisim|vcomponentsramb16_s1_s4|unisim|vcomponentsramb16_s1_s9|unisim|vcomponentsramb16_s1|unisim|vcomponentsramb16_s2_s18|unisim|vcomponentsramb16_s2_s2|unisim|vcomponentsramb16_s2_s36|unisim|vcomponentsramb16_s2_s4|unisim|vcomponentsramb16_s2_s9|unisim|vcomponentsramb16_s2|unisim|vcomponentsramb16_s36_s36|unisim|vcomponentsramb16_s36|unisim|vcomponentsramb16_s4_s18|unisim|vcomponentsramb16_s4_s36|unisim|vcomponentsramb16_s4_s4|unisim|vcomponentsramb16_s4_s9|unisim|vcomponentsramb16_s4|unisim|vcomponentsramb16_s9_s18|unisim|vcomponentsramb16_s9_s36|unisim|vcomponentsramb16_s9_s9|unisim|vcomponentsramb16_s9|unisim|vcomponentsramb16bwe_s18_s18|unisim|vcomponentsramb16bwe_s18_s9|unisim|vcomponentsramb16bwe_s18|unisim|vcomponentsramb16bwe_s36_s18|unisim|vcomponentsramb16bwe_s36_s36|unisim|vcomponentsramb16bwe_s36_s9|unisim|vcomponentsramb16bwe_s36|unisim|vcomponentsramb16bwe|unisim|vcomponentsramb16|unisim|vcomponentsramb18sdp|unisim|vcomponentsramb18|unisim|vcomponentsramb32_s64_ecc|unisim|vcomponentsramb36_exp|unisim|vcomponentsramb36sdp_exp|unisim|vcomponentsramb36sdp|unisim|vcomponentsramb36|unisim|vcomponentsramb4_s16_s16|unisim|vcomponentsramb4_s16|unisim|vcomponentsramb4_s1_s16|unisim|vcomponentsramb4_s1_s1|unisim|vcomponentsramb4_s1_s2|unisim|vcomponentsramb4_s1_s4|unisim|vcomponentsramb4_s1_s8|unisim|vcomponentsramb4_s1|unisim|vcomponentsramb4_s2_s16|unisim|vcomponentsramb4_s2_s2|unisim|vcomponentsramb4_s2_s4|unisim|vcomponentsramb4_s2_s8|unisim|vcomponentsramb4_s2|unisim|vcomponentsramb4_s4_s16|unisim|vcomponentsramb4_s4_s4|unisim|vcomponentsramb4_s4_s8|unisim|vcomponentsramb4_s4|unisim|vcomponentsramb4_s8_s16|unisim|vcomponentsramb4_s8_s8|unisim|vcomponentsramb4_s8|unisim|vcomponentsrocbuf|unisim|vcomponentsroc|unisim|vcomponentsrom128x1|unisim|vcomponentsrom16x1|unisim|vcomponentsrom256x1|unisim|vcomponentsrom32x1|unisim|vcomponentsrom64x1|unisim|vcomponentssrl16_1|unisim|vcomponentssrl16e_1|unisim|vcomponentssrl16e|unisim|vcomponentssrl16|unisim|vcomponentssrlc16_1|unisim|vcomponentssrlc16e_1|unisim|vcomponentssrlc16e|unisim|vcomponentssrlc16|unisim|vcomponentssrlc32e|unisim|vcomponentsstartbuf_fpgacore|unisim|vcomponentsstartbuf_spartan2|unisim|vcomponentsstartbuf_spartan3|unisim|vcomponentsstartbuf_virtex2|unisim|vcomponentsstartbuf_virtex4|unisim|vcomponentsstartbuf_virtex|unisim|vcomponentsstartup_fpgacore|unisim|vcomponentsstartup_spartan2|unisim|vcomponentsstartup_spartan3a|unisim|vcomponentsstartup_spartan3e|unisim|vcomponentsstartup_spartan3|unisim|vcomponentsstartup_virtex2|unisim|vcomponentsstartup_virtex4|unisim|vcomponentsstartup_virtex5|unisim|vcomponentsstartup_virtex|unisim|vcomponentssysmon|unisim|vcomponentstblock|unisim|vcomponentstimegrp|unisim|vcomponentstimespec|unisim|vcomponentstocbuf|unisim|vcomponentstoc|unisim|vcomponentsusr_access_virtex4|unisim|vcomponentsusr_access_virtex5|unisim|vcomponentsvcc|unisim|vcomponentswireand|unisim|vcomponentsx_and16|simprim|vcomponentsx_and2|simprim|vcomponentsx_and32|simprim|vcomponentsx_and3|simprim|vcomponentsx_and4|simprim|vcomponentsx_and5|simprim|vcomponentsx_and6|simprim|vcomponentsx_and7|simprim|vcomponentsx_and8|simprim|vcomponentsx_and9|simprim|vcomponentsx_bpad|simprim|vcomponentsx_bscan_fpgacore|simprim|vcomponentsx_bscan_spartan2|simprim|vcomponentsx_bscan_spartan3a|simprim|vcomponentsx_bscan_spartan3|simprim|vcomponentsx_bscan_virtex2|simprim|vcomponentsx_bscan_virtex4|simprim|vcomponentsx_bscan_virtex5|simprim|vcomponentsx_bscan_virtex|simprim|vcomponentsx_bufgctrl|simprim|vcomponentsx_bufgmux_1|simprim|vcomponentsx_bufgmux|simprim|vcomponentsx_bufr|simprim|vcomponentsx_buf|simprim|vcomponentsx_carry4|simprim|vcomponentsx_ckbuf|simprim|vcomponentsx_clk_div|simprim|vcomponentsx_clkdlle|simprim|vcomponentsx_clkdll|simprim|vcomponentsx_crc32|simprim|vcomponentsx_crc64|simprim|vcomponentsx_dcm_adv|simprim|vcomponentsx_dcm_sp|simprim|vcomponentsx_dcm|simprim|vcomponentsx_dna_port|simprim|vcomponentsx_dsp48e|simprim|vcomponentsx_dsp48|simprim|vcomponentsx_emac|simprim|vcomponentsx_fddrcpe|simprim|vcomponentsx_fddrrse|simprim|vcomponentsx_fdd|simprim|vcomponentsx_ff|simprim|vcomponentsx_fifo16|simprim|vcomponentsx_fifo18_36|simprim|vcomponentsx_fifo18|simprim|vcomponentsx_fifo36_72_exp|simprim|vcomponentsx_fifo36_exp|simprim|vcomponentsx_gt10|simprim|vcomponentsx_gt11clk|simprim|vcomponentsx_gt11|simprim|vcomponentsx_gt|simprim|vcomponentsx_ibuf_dly_adj|simprim|vcomponentsx_ibufds_dly_adj|simprim|vcomponentsx_ibufds|simprim|vcomponentsx_iddr2|simprim|vcomponentsx_iddr_2clk|simprim|vcomponentsx_iddr|simprim|vcomponentsx_idelayctrl|simprim|vcomponentsx_idelay|simprim|vcomponentsx_inv|simprim|vcomponentsx_iodelay|simprim|vcomponentsx_ipad|simprim|vcomponentsx_iserdes_nodelay|simprim|vcomponentsx_iserdes|simprim|vcomponentsx_keeper|simprim|vcomponentsx_latche|simprim|vcomponentsx_latch|simprim|vcomponentsx_lut2|simprim|vcomponentsx_lut3|simprim|vcomponentsx_lut4|simprim|vcomponentsx_lut5|simprim|vcomponentsx_lut6|simprim|vcomponentsx_lut7|simprim|vcomponentsx_lut8|simprim|vcomponentsx_mult18x18sio|simprim|vcomponentsx_mult18x18s|simprim|vcomponentsx_mult18x18|simprim|vcomponentsx_mux2|simprim|vcomponentsx_muxddr|simprim|vcomponentsx_obufds|simprim|vcomponentsx_obuftds|simprim|vcomponentsx_obuft|simprim|vcomponentsx_obuf|simprim|vcomponentsx_oddr2|simprim|vcomponentsx_oddr|simprim|vcomponentsx_one|simprim|vcomponentsx_opad|simprim|vcomponentsx_or16|simprim|vcomponentsx_or2|simprim|vcomponentsx_or32|simprim|vcomponentsx_or3|simprim|vcomponentsx_or4|simprim|vcomponentsx_or5|simprim|vcomponentsx_or6|simprim|vcomponentsx_or7|simprim|vcomponentsx_or8|simprim|vcomponentsx_or9|simprim|vcomponentsx_oserdes|simprim|vcomponentsx_pd|simprim|vcomponentsx_pll_adv|simprim|vcomponentsx_pmcd|simprim|vcomponentsx_ppc405_adv|simprim|vcomponentsx_ppc405|simprim|vcomponentsx_pu|simprim|vcomponentsx_ram32m|simprim|vcomponentsx_ram64m|simprim|vcomponentsx_ramb16_s18_s18|simprim|vcomponentsx_ramb16_s18_s36|simprim|vcomponentsx_ramb16_s18|simprim|vcomponentsx_ramb16_s1_s18|simprim|vcomponentsx_ramb16_s1_s1|simprim|vcomponentsx_ramb16_s1_s2|simprim|vcomponentsx_ramb16_s1_s36|simprim|vcomponentsx_ramb16_s1_s4|simprim|vcomponentsx_ramb16_s1_s9|simprim|vcomponentsx_ramb16_s1|simprim|vcomponentsx_ramb16_s2_s18|simprim|vcomponentsx_ramb16_s2_s2|simprim|vcomponentsx_ramb16_s2_s36|simprim|vcomponentsx_ramb16_s2_s4|simprim|vcomponentsx_ramb16_s2_s9|simprim|vcomponentsx_ramb16_s2|simprim|vcomponentsx_ramb16_s36_s36|simprim|vcomponentsx_ramb16_s36|simprim|vcomponentsx_ramb16_s4_s18|simprim|vcomponentsx_ramb16_s4_s36|simprim|vcomponentsx_ramb16_s4_s4|simprim|vcomponentsx_ramb16_s4_s9|simprim|vcomponentsx_ramb16_s4|simprim|vcomponentsx_ramb16_s9_s18|simprim|vcomponentsx_ramb16_s9_s36|simprim|vcomponentsx_ramb16_s9_s9|simprim|vcomponentsx_ramb16_s9|simprim|vcomponentsx_ramb16bwe|simprim|vcomponentsx_ramb16|simprim|vcomponentsx_ramb18sdp|simprim|vcomponentsx_ramb18|simprim|vcomponentsx_ramb36_exp|simprim|vcomponentsx_ramb36sdp_exp|simprim|vcomponentsx_ramb4_s16_s16|simprim|vcomponentsx_ramb4_s16|simprim|vcomponentsx_ramb4_s1_s16|simprim|vcomponentsx_ramb4_s1_s1|simprim|vcomponentsx_ramb4_s1_s2|simprim|vcomponentsx_ramb4_s1_s4|simprim|vcomponentsx_ramb4_s1_s8|simprim|vcomponentsx_ramb4_s1|simprim|vcomponentsx_ramb4_s2_s16|simprim|vcomponentsx_ramb4_s2_s2|simprim|vcomponentsx_ramb4_s2_s4|simprim|vcomponentsx_ramb4_s2_s8|simprim|vcomponentsx_ramb4_s2|simprim|vcomponentsx_ramb4_s4_s16|simprim|vcomponentsx_ramb4_s4_s4|simprim|vcomponentsx_ramb4_s4_s8|simprim|vcomponentsx_ramb4_s4|simprim|vcomponentsx_ramb4_s8_s16|simprim|vcomponentsx_ramb4_s8_s8|simprim|vcomponentsx_ramb4_s8|simprim|vcomponentsx_ramd128|simprim|vcomponentsx_ramd16|simprim|vcomponentsx_ramd32|simprim|vcomponentsx_ramd64_adv|simprim|vcomponentsx_ramd64|simprim|vcomponentsx_rams128|simprim|vcomponentsx_rams16|simprim|vcomponentsx_rams256|simprim|vcomponentsx_rams32|simprim|vcomponentsx_rams64_adv|simprim|vcomponentsx_rams64|simprim|vcomponentsx_rocbuf|simprim|vcomponentsx_roc|simprim|vcomponentsx_sff|simprim|vcomponentsx_srl16e|simprim|vcomponentsx_srlc16e|simprim|vcomponentsx_srlc32e|simprim|vcomponentsx_suh|simprim|vcomponentsx_sysmon|simprim|vcomponentsx_tocbuf|simprim|vcomponentsx_toc|simprim|vcomponentsx_tri|simprim|vcomponentsx_upad|simprim|vcomponentsx_xor16|simprim|vcomponentsx_xor2|simprim|vcomponentsx_xor32|simprim|vcomponentsx_xor3|simprim|vcomponentsx_xor4|simprim|vcomponentsx_xor5|simprim|vcomponentsx_xor6|simprim|vcomponentsx_xor7|simprim|vcomponentsx_xor8|simprim|vcomponentsx_zero|simprim|vcomponentsxnor2|unisim|vcomponentsxnor3|unisim|vcomponentsxnor4|unisim|vcomponentsxnor5|unisim|vcomponentsxor2|unisim|vcomponentsxor3|unisim|vcomponentsxor4|unisim|vcomponentsxor5|unisim|vcomponentsxorcy_d|unisim|vcomponentsxorcy_l|unisim|vcomponentsxorcy|unisim|vcomponents****PROP_DevFamilyPMName=acr2********PROP_Parse_Edif_Module=false********PROP_Parse_Target=synthesis********PROP_DevFamilyPMName=acr2********PROP_Parse_Target=synthesis********PROP_DevFamilyPMName=spartan3********PROP_Parse_Edif_Module=false********PROP_Parse_Target=synthesis********PROP_DevFamilyPMName=spartan3********PROP_Parse_Target=synthesis********PROP_DevFamilyPMName=virtex4********PROP_Parse_Edif_Module=false********PROP_Parse_Target=synthesis********PROP_DevFamilyPMName=virtex4********PROP_Parse_Target=synthesis********PROP_DevFamilyPMName=xbr********PROP_Parse_Edif_Module=false********PROP_Parse_Target=synthesis********PROP_DevFamilyPMName=xbr********PROP_Parse_Target=synthesis********PROP_Parse_Target=synthesis****PROP_DevFamilyPMNamevirtex4PROP_Parse_Targetsynthesisspartan3PROP_DevFamilyAutomotive CoolRunner2Spartan3PROP_Dummydum1CoolRunner XPLA3 CPLDsXC9500XV CPLDsXC9500XL CPLDsXC9500 CPLDsCoolRunner2 CPLDsAutomotive 9500XLVirtexEVirtex4Virtex2PVirtex2VirtexSpartan3ESpartan3ASpartan2ESpartan2QPro VirtexE MilitaryQPro Virtex Hi-RelQPro Virtex Rad-HardAutomotive Spartan3EAutomotive Spartan3Automotive Spartan2EPROP_xstVeriIncludeDirxbrPROP_Parse_Edif_ModulefalsePLUGIN_EdifPLUGIN_GeneralPLUGIN_NcdPLUGIN_VerilogPLUGIN_VhdllibHdlacr2|File||C:/Xilinx/ISEexamples/cpu8080/isim.cmd|PLUGIN_General|1163921530|FILE_CMD|Generic||isim.cmdisim.cmdDESUT_CMD|File||C:/Xilinx/ISEexamples/cpu8080/isim|PLUGIN_General|1163918830|FILE_DIRECTORY|Generic||isimisimDESUT_DIRECTORY|File||C:/Xilinx/ISEexamples/cpu8080/cpu8080_tbw_isim_beh.exe|PLUGIN_General|1163921530|FILE_ISIM_EXE|Generic||cpu8080_tbw_isim_beh.execpu8080_tbw_isim_beh.exeDESUT_ISIM_EXE|File||C:/Xilinx/ISEexamples/cpu8080/xilinxsim.ini|PLUGIN_General|1163921520|FILE_INI|Generic||xilinxsim.inixilinxsim.iniDESUT_INI|File||C:/Xilinx/ISEexamples/cpu8080/cpu8080_tbw_beh.prj|PLUGIN_General|1163921520|FILE_XST_PROJECT|Generic||cpu8080_tbw_beh.prjcpu8080_tbw_beh.prjDESUT_XST_PROJECT|File||C:/Xilinx/ISEexamples/cpu8080/cpu8080_tbw.tfw|PLUGIN_Verilog|1163918828|FILE_VERILOG|ComponentInstantiation||cpu8080_tbw|cpu8080_tbw|UUT|testbench||Module||cpu8080_tbwcpu8080_tbwDESUT_VERILOGUUTtestbench|File||C:/Xilinx/ISEexamples/cpu8080/cpu8080_tbw_bencher.prj|PLUGIN_General|1163918828||Generic||cpu8080_tbw_bencher.prjcpu8080_tbw_bencher.prj|File||C:/Xilinx/ISEexamples/cpu8080/ps2_kbd.vhd|PLUGIN_Vhdl|1163051954|FILE_VHDL|Architecture||arch|ps2_kbd|||Entity||ps2_kbd|Library||||PackageDecl||ps2_kbd_pckg||Use||IEEE|numeric_std|all||Use||IEEE|std_logic_1164|all|archps2_kbdDESUT_VHDL_ARCHITECTUREDESUT_VHDL_ENTITYIEEE.numeric_std.allIEEEnumeric_stdallIEEE.std_logic_1164.allstd_logic_1164ps2_kbd_pckgDESUT_VHDL_PACKAGE_DECL|File||C:/Xilinx/ISEexamples/cpu8080/testbench_last_par.ncd|PLUGIN_NCD|1163736296|PLUGIN_NCDFILE_NCD|Module||testbenchDESUT_NCD|File||C:/Xilinx/ISEexamples/cpu8080/cpu8080_tbw.xwv|PLUGIN_General|1163884244|FILE_XWV|Generic||cpu8080_tbw.xwvcpu8080_tbw.xwvDESUT_XWV|File||C:/Xilinx/ISEexamples/cpu8080/cpu8080_tbw.tbw|PLUGIN_Bencher|1163885954|PLUGIN_BencherFILE_TBWDESUT_TBW|File||C:/Xilinx/ISEexamples/cpu8080/common.vhd|PLUGIN_Vhdl|1161065232||PackageBody||common||PackageDecl||common|commonDESUT_VHDL_PACKAGE_BODY|File||C:/Xilinx/ISEexamples/cpu8080/vga.vhd|PLUGIN_Vhdl|1163609156||Architecture||sync_arch|sync|||Architecture||vga_arch|vga|||ComponentInstantiation||vga|vga_arch|hsync|sync||ComponentInstantiation||vga|vga_arch|vsync|sync||Entity||sync|Entity||vga|PackageDecl||vga_pckg||Use||unisim|vcomponents|all||Use||work|common|all|sync_archsyncwork.common.allunisim.vcomponents.allvga_archvgavsynchsyncvga_pckg|File||C:/Xilinx/ISEexamples/cpu8080/vgachr.v|PLUGIN_Verilog|1163609500||ComponentInstantiation||chrmemmap|chrmemmap|crom|chrrom||ComponentInstantiation||chrmemmap|chrmemmap|vgai|vga||ComponentInstantiation||terminal|terminal|display|chrmemmap||ComponentInstantiation||terminal|terminal|kbdtblu|scnromu||ComponentInstantiation||terminal|terminal|kbdtbl|scnrom||ComponentInstantiation||terminal|terminal|vgai|ps2_kbd||Module||chrmemmap|Module||chrrom|Module||scnrom|Module||scnromu|Module||terminalscnromuscnromchrromchrmemmapcromvgaiterminalkbdtblukbdtbldisplay|File||C:/Xilinx/ISEexamples/cpu8080/cpu_tbw.xwv|PLUGIN_General|1162052172||Generic||cpu_tbw.xwvcpu_tbw.xwv|File||C:/Xilinx/ISEexamples/cpu8080/testbench.v|PLUGIN_Verilog|1163784090||ComponentInstantiation||select|select|selecta|selectone||ComponentInstantiation||select|select|selectb|selectone||ComponentInstantiation||select|select|selectc|selectone||ComponentInstantiation||select|select|selectd|selectone||ComponentInstantiation||testbench|testbench|adm3a|terminal||ComponentInstantiation||testbench|testbench|cpu|cpu8080||ComponentInstantiation||testbench|testbench|intc|intcontrol||ComponentInstantiation||testbench|testbench|ram|ram||ComponentInstantiation||testbench|testbench|rom|rom||ComponentInstantiation||testbench|testbench|select1|select||Module||intcontrol|Module||ram|Module||rom|Module||select|Module||selectoneramromintcontrolselectoneselectselectdselectcselectbselectaadm3aintccpuselect1success_TRAN_chkSynVerilog_OPER|File||C:/Xilinx/ISEexamples/cpu8080/cpu8080.ucf|PLUGIN_AssocModule|1163695764|PLUGIN_AssocModuleFILE_UCF|Module||cpu8080.ucfcpu8080.ucfDESUT_UCF|File||C:/Xilinx/ISEexamples/cpu8080/cpu8080.v|PLUGIN_Verilog|1163922018||ComponentInstantiation||cpu8080|cpu8080|alu|alu||Module||alu|Module||cpu8080aluAutoGeneratedViewVIEW_Post-SynthesisAbstractSimulationTBIND_StructuralToPost-SynthesisAbstractSimulationTRAN_postSynthesisSimModelVIEW_StructuralTBINDEXT_StructuralToTranslation_FPGATRAN_copyStructuralToTranslationForBitgenTRAN_copyStructuralToTranslationForConstraintsTRANEXT_ngdbuild_FPGAVIEW_TranslationTBIND_xlateFloorPlannerTRAN_xlateFloorPlannerVIEW_Post-TranslateFloorPlannerTBIND_xlateAssignPackagePinsTRAN_xlateAssignPackagePinsVIEW_Post-TranslateAssignPinsTBIND_TranslationToPost-TranslateFormalityNetlistTRAN_postXlateFormalityNetlistVIEW_Post-TranslateFormalityNetlistTBIND_TranslationToPost-TranslateAbstractSimulationTRAN_postXlateSimModelVIEW_Post-TranslateAbstractSimulationTBIND_Post-TranslateAbstractToTBWPreSimulationTRAN_createPostXlateTestBenchTRAN_copyPost-TranslateAbstractToPreSimulationVIEW_TBWPost-TranslatePreSimulationTBIND_Post-TranslateAbstractToPreSimulationVIEW_Post-TranslatePreSimulationTBIND_NGCAssignPackagePinsTRAN_ngcAssignPackagePinsVIEW_ngcAssignPackagePinsTBIND_CreateTimingConstraintsTRAN_createTimingConstraintsVIEW_Post-TranslateTimingConstraintsTBIND_CreateAreaConstraintsTRAN_createAreaConstraintsVIEW_Post-TranslateAreaConstraintsTBINDEXT_TranslationToMap_spartan3TRAN_copyTranslationToMapForBitgenTRANEXT_map_spartan3VIEW_MapTBIND_preRouteTrceTRAN_preRouteTrceVIEW_Post-MapStaticTimingTBIND_mapFpgaEditorTRAN_mapFpgaEditorVIEW_Post-MapFpgaEditorTBIND_mapFloorPlannerTRAN_mapFloorPlannerVIEW_Post-MapFloorPlannerTBIND_MapToPost-MapAbstractSimulationTRAN_postMapSimModelVIEW_Post-MapAbstractSimulationTBIND_Post-MapAbstractToTBWPreSimulationTRAN_createPostMapTestBenchTRAN_copyPost-MapAbstractToPreSimulationVIEW_TBWPost-MapPreSimulationTBIND_Post-MapAbstractToPreSimulationVIEW_Post-MapPreSimulationTBINDEXT_MapToPar_spartan3TRAN_copyMapToParForBitgenTRANEXT_par_spartan3VIEW_ParTBIND_postRouteTrceTRAN_postRouteTrceVIEW_Post-ParStaticTimingTBIND_postParPrimetimeNetlistTRAN_postParPrimetimeNetlistVIEW_PrimetimeNetlistTBIND_parFpgaEditorTRAN_parFpgaEditorVIEW_Post-ParFpgaEditorTBIND_parFloorPlannerTRAN_parFloorPlannerVIEW_Post-ParFloorPlannerTBIND_genPowerDataTRAN_genPowerDataVIEW_FPGAGeneratePowerDataTBIND_createIBISModelTRAN_createIBISModelVIEW_IBISModelTBIND_XpowerTRAN_XPowerVIEW_FPGAAnalyzePowerTBIND_ParToPost-ParFormalityNetlistTRAN_postParFormalityNetlistVIEW_Post-ParFormalityNetlistTBIND_ParToPost-ParClockRegionTRAN_clkRegionRptVIEW_Post-ParClockRegionReportTBIND_ParToPost-ParAsyncDelayTRAN_asynDlyRptVIEW_Post-ParAsyncDelayReportTBIND_ParToPost-ParAbstractSimulationTRAN_postParSimModelVIEW_Post-ParAbstractSimulationTBIND_Post-ParAbstractToTBWPreSimulationTRAN_createPostParTestBenchTRAN_copyPost-ParAbstractToPreSimulationVIEW_TBWPost-ParPreSimulationTBIND_TBWPost-ParPreToFuseTRAN_ISimulatePostPlace&RouteModelRunFuse(bencher)VIEW_TBWPost-ParFuseTBIND_TBWPost-ParFuseToSimulationISimTRAN_ISimulatePostPlace&RouteModel(bencher)VIEW_TBWPost-ParSimulationISimTBIND_Post-ParAbstractToPreSimulationVIEW_Post-ParPreSimulationTBIND_Post-ParPreToFuseTRAN_ISimulatePostPlace&RouteModelRunFuseVIEW_Post-ParFuseTBIND_Post-ParFuseToSimulationISimTRAN_ISimulatePostPlace&RouteModelVIEW_Post-ParSimulationISimTBIND_ParToMpprResultTRAN_copyMpprRsltVIEW_MpprResultTBIND_ParToLockedPinConstraintsTRAN_genLockedPinConstraintsVIEW_LockedPinConstraintsTBIND_ParToBackAnnoPinLocationsTRAN_backAnnoPinLocationsVIEW_BackAnnoPinLocationsTBINDEXT_ParToFPGAConfiguration_spartan3TRANEXT_bitFile_spartan3VIEW_FPGAConfigurationTBIND_analyzeDesignUsingChipscopeTRAN_analyzeDesignUsingChipscopeVIEW_AnalyzedDesignTBIND_UpdateBitstreamXPSTRAN_xpsUpdBitstreamVIEW_UpdatedBitstreamTBIND_FPGAConfigurationToFPGAGeneratePROMTRAN_genImpactFileVIEW_FPGAGeneratePROMTBIND_FPGAConfigurationToFPGAConfigureDeviceTRAN_impactProgrammingToolVIEW_FPGAConfigureDeviceTBINDEXT_XSTPreSynthesisToStructural_spartan3TRAN_copyPreSynthesisToStructuralForBitgenTRANEXT_xstsynthesize_spartan3TRAN_copyPreSynthesisToStructuralForTranslateVIEW_XSTPreSynthesisTBIND_XSTAssignPackagePinsTRAN_assignPackagePinsVIEW_AssignPackagePinsTBIND_EditConstraintsTextAppTRAN_editConstraintsVIEW_PreSynthEditConstraintsTBIND_XSTAbstractToPreSynthesisTRAN_copyAbstractToPreSynthesisForBitgenTRAN_copyAbstractToPreSynthesisForTranslateTRAN_convertToHdlTRAN_copyAbstractToPreSynthesisForSynthesisVIEW_XSTAbstractSynthesisTBIND_InitialToXSTAbstractSynthesisTRAN_copyInitialToXSTAbstractSynthesisVIEW_InitialTBINDEXT_InitialToCommon_FPGATRANEXT_compLibraries_FPGAVIEW_CommonTBIND_InitialToAbstractSimulationTRAN_copyInitialToAbstractSimulationVIEW_AbstractSimulationTBIND_AbstractToPostAbstractSimulationTRAN_copyAbstractToPostAbstractSimulationVIEW_PostAbstractSimulationTBIND_PostAbstractToTBWPreSimulationTRAN_viewBehavioralTestbenchTRAN_copyPostAbstractToPreSimulationVIEW_TBWPreSimulationTBIND_TBWPreToBehavioralFuseTRAN_ISimulateBehavioralModelRunFuse(bencher)VIEW_TBWBehavioralFuseTBIND_TBWBehavioralFuseToSimulationISimTRAN_ISimulateBehavioralModel(bencher)VIEW_TBWBehavioralSimulationISimTBIND_PostAbstractToPreSimulationVIEW_PreSimulationTBIND_PreToBehavioralFuseTRAN_ISimulateBehavioralModelRunFuseVIEW_BehavioralFuseTBIND_BehavioralFuseToSimulationISimTRAN_ISimulateBehavioralModelVIEW_BehavioralSimulationISimTBIND_PostAbstractToAnnotatedPreSimulationTRAN_viewBehavioralTestbenchForAnnoTRAN_copyPostAbstractToAnnotatedPreSimulationVIEW_AnnotatedPreSimulationTBIND_PreToGenerateAnnotatedResultsFuseTRAN_ISimGenerateAnnotatedResultsRunFuseTRAN_copyPreToGenerateAnnotatedResultsFuseForTBWVIEW_AnnotatedResultsFuseTBIND_FuseToAnnotatedResultsISimTRAN_ISimGenerateAnnotatedResultsTRAN_copyFuseToAnnotatedResultsISimForTBWVIEW_AnnotatedResultsISimTBIND_AnnotatedToGenerateExpectedSimulationResultsISimTRAN_ISimGenerateExpectedSimulationResultsVIEW_ExpectedSimulationResultsISimDESPF_TRADITIONALPROP_SimulatorISE Simulator (VHDL/Verilog)Other MixedOther VerilogOther VHDLVCS-MXi MixedVCS-MXi VerilogVCS-MXi VHDLVCS-MX MixedVCS-MX VerilogVCS-MX VHDLNC-Sim MixedNC-Sim VerilogNC-Sim VHDLModelsim-XE VerilogModelsim-XE VHDLModelsim-PE MixedModelsim-PE VerilogModelsim-PE VHDLModelsim-SE MixedModelsim-SE VerilogModelsim-SE VHDLPROP_Synthesis_ToolXST (VHDL/Verilog)PROP_Top_Level_Module_TypeHDLPROP_DevSpeed-5-4PROP_DevPackagefg320ft256PROP_DevDevicexc3s50xc3s1000xc3s5000xc3s4000lxc3s4000xc3s2000xc3s1500lxc3s1500xc3s1000lxc3s400xc3s200fg676fg456PROP_TopDesignUnitModule|cpu8080Module|cpu8080_tbwModule|testbenchArchitecture|ps2_kbd|archPROP_tbwPostParTestbenchNamePROP_tbwTestbenchTargetLangAutomaticVerilogVHDLcpu8080_tbw.timesim_tfwPROP_tbwPostMapTestbenchNamecpu8080_tbw.map_tfwPROP_tbwPostXlateTestbenchNamecpu8080_tbw.translate_tfwPROP_PostParSimModelName_timesim.vPROP_SimModelTargetcpu8080_timesim.vPROP_PostMapSimModelName_map.vcpu8080_map.vPROP_PostXlateSimModelName_translate.vcpu8080_translate.vPROP_SimModelRenTopLevEntToPROP_SimModelGenArchOnlyPROP_ISimSpecifyDefMacroAndValuePROP_ISimSpecifySearchDirPROP_ISimValueRangeCheckPROP_ISimCompileForHdlDebugPROP_ISimIncreCompilationPROPEXT_xstUseSyncReset_virtex4AutoPROPEXT_xstUseSyncSet_virtex4PROPEXT_xstUseClockEnable_virtex4PROPEXT_xilxSynthAddBufg_virtex4PROPEXT_xilxBitgCfg_Rate_virtex44PROP_xilxSynthAddBufrPROP_usedsp48PROP_MapEquivalentRegisterRemovalPROP_MapGlobalOptimizationPROP_MapRetimingPROP_xilxBitgCfg_RdwrPull UpPROP_xilxBitgCfg_BusyPROP_xilxBitgCfg_DinPROP_xilxBitgCfg_CsPROP_xilxBitgCfg_InitPROP_xstDSPUtilRatioPROPEXT_xilxBitgCfg_DCIUpdateMode_spartan3As RequiredPROPEXT_xilxBitgCfg_Rate_spartan3Default (6)PROPEXT_xilxSynthAddBufg_spartan3PROPEXT_xilxSynthMaxFanout_virtex2PROPEXT_SynthMultStyle_virtex2PROP_xilxBitgCfg_GenOpt_MaskFile_virtex2PROP_xilxBitgCfg_GenOpt_ReadBack_virtex2PROP_bitgen_Encrypt_EncryptPROP_xilxBitgCfg_GenOpt_CompressPROP_xilxBitgCfg_GenOpt_LogicAllocFile_virtex2PROP_xilxBitgReadBk_GenBitStr_virtex2PROPEXT_xilxMapGenInputK_virtex2PROP_MapRegDuplicationPROP_xilxMapTimingDrivenPackingPROP_MapLogicOptimizationPROP_MapPlacerCostTablePROP_MapExtraEffortNonePROP_MapEffortLevelMediumHighStandardContinue on ImpossibleNormalPROP_bitgen_Encrypt_keyFileAll files (*)|*PROP_bitgen_Encrypt_key0PROP_xilxBitgStart_Clk_MatchCyclePROP_xilxBitgCfg_DCMShutdownPROP_xilxBitgCfg_GenOpt_EnableCRCPROP_xilxBitgCfg_GenOpt_IEEE1532FilePROP_CompxlibSmartModelsPROP_CompxlibUpdateIniForSmartModelPROP_xstUseClockEnableYesPROP_xilxSynthRegDuplicationPROP_xstOptimizeInsPrimtivesPROP_xstSlicePackingPROP_xstPackIORegisterPROP_xstMoveLastFfStagePROP_xilxSynthRegBalancingNoPROP_xstMoveFirstFfStagePROP_SynthLogicalShifterExtractPROP_SynthShiftRegExtractPROP_SynthEncoderExtractPROP_SynthDecoderExtractPROP_SynthMuxStylePROP_SynthExtractMuxMUXCYMUXFPROP_xstROMStylePROP_SynthExtractROMBlockDistributedPROP_SynthRAMStylePROP_SynthExtractRAMPROP_xstFsmStyleLUTPROP_xstCrossClockAnalysisPROP_xstSliceUtilRatioPROP_xstWriteTimingConstraintsPROP_xstCoresSearchDirPROP_xstReadCoresPROP_xilxSynthGlobOptAllClockNetsPROP_CompxlibXlnxCoreLibPROP_impactConfigFileNamePROP_impactConfigModePROP_ImpactProjectFileDesktop ConfigurationSelect MAPSlave SerialBoundary ScanISC files (*.isc)|*.iscCMD files (*.cmd)|*.cmdHEX files (*.hex)|*.hexMCS files (*.mcs)|*.mcsEXO files (*.exo)|*.exoCDF files (*.cdf)|*.cdfBIT files (*.bit)|*.bitPROP_AceActiveNamePROP_AutoGenFilePROP_primeTopLevelModulePROP_primeCorrelateOutputPROP_primeFlatternOutputNetlistPROP_primetimeBlockRamDataPROP_xilxPostTrceTSIFilePROP_xilxPostTrceStampPROP_PostTrceFastPathPROP_xilxPostTrceUncovPathPROP_xilxPostTrceSpeedAbsolute MinPROP_xilxPostTrceAdvAnaPROP_xilxPostTrceRptTimingPROP_xilxPostTrceRptLimitPROP_xilxPostTrceRptError ReportPROP_PreTrceFastPathPROP_xilxPreTrceUncovPathPROP_xilxPreTrceSpeedPROP_xilxPreTrceAdvAnaPROP_xilxPreTrceRptTimingPROP_xilxPreTrceRptLimitPROP_xilxPreTrceRptPROP_CurrentFloorplanFilePROP_xilxBitgCfg_GenOpt_MaskFilePROP_xilxBitgCfg_GenOpt_ReadBackPROP_xilxBitgCfg_GenOpt_LogicAllocFilePROP_xilxBitgReadBk_GenBitStrPROP_xilxBitgReadBk_SecEnable Readback and ReconfigurationPROP_xilxBitgStart_Clk_DriveDonePROP_xilxBitgStart_Clk_RelDLLDefault (NoWait)PROP_xilxBitgStart_Clk_WrtEnPROP_xilxBitgStart_Clk_EnOutDefault (5)PROP_xilxBitgStart_Clk_DoneDefault (4)PROP_xilxBitgStart_IntDonePROP_xilxBitgStart_ClkCCLKPROP_xilxBitgCfg_Code0xFFFFFFFFPROP_xilxBitgCfg_UnusedFloatPROP_xilxBitgCfg_TMSPROP_xilxBitgCfg_TDOPROP_xilxBitgCfg_TDIPROP_xilxBitgCfg_TCKPROP_xilxBitgCfg_DonePROP_xilxBitgCfg_PgmPROP_xilxBitgCfg_M2PROP_xilxBitgCfg_M1PROP_xilxBitgCfg_M0PROP_xilxBitgCfg_ClkPROP_bitgen_otherCmdLineOptionsPROP_xilxBitgCfg_GenOpt_DbgBitStrPROP_xilxBitgCfg_GenOpt_ASCIIFilePROP_xilxBitgCfg_GenOpt_BinaryFilePROP_xilxBitgCfg_GenOpt_BitFilePROP_xilxBitgCfg_GenOpt_DRCPROP_parMpprNodelistFilePROP_xilxPARstratNormal Place and RoutePROP_parMpprResultsDirectoryPROP_parMpprResultsToSavePROP_parMpprParIterationsPROP_mpprRsltToCopyPROP_mpprViewPadRptForSelRsltPROP_mpprViewPadRptsForAllRsltPROP_mpprViewParRptForSelRsltPROP_mpprViewParRptsForAllRsltPROP_par_otherCmdLineOptionsPROP_parPowerReductionPROP_parGenSimModelPROP_parGenTimingRptPROP_parGenClkRegionRptPROP_parGenAsyDlyRptPROP_xilxPARuseBondedIOPROP_parUseTimingConstraintsPROP_xilxPARguideModePROP_EnableIncDesignFlowIncrementalLeverageExactPROP_xilxPARguideDesignPROP_RunGuidedIncDesignFlowNCD files (*.ncd)|*.ncdPROP_xilxPARplacerCostTablePROP_xilxPARextraEffortLevelPROP_xilxPARrouterEffortLevelPROP_xilxPARplacerEffortLevelPROP_xilxPAReffortLevelPROP_map_otherCmdLineOptionsPROP_xilxMapSliceLogicInUnusedBRAMsPROP_xilxMapPackfactorPROP_xilxMapDisableRegOrderingPROP_xilxMapPackRegIntoFor Inputs and OutputsPROP_mapUseRLOCConstraintsPROP_xilxMapGuideModePROP_xilxMapGuideDesignPROP_xilxMapReportDetailPROP_xilxMapCoverModeAreaPROP_xilxMapAllowLogicOptPROP_xilxMapReplicateLogicPROP_xilxMapTrimUnconnSigPROP_xilxNgdbldPresHierarchyPROP_xilxNgdbldURPROP_xilxNgdbldUnexpBlksPROP_xilxNgdbldIOPadsPROP_xilxNgdbldNTTypeTimestampPROP_ngdbuildUseLOCConstraintsPROP_xilxBitgCfg_GenOpt_IEEE1532File_xbrPROP_UseDataGatePROP_xcpldFitDesVoltLVCMOS18PROP_xcpldFitDesTriModeKeeperPROP_xcpldFitDesUnusedPROP_xcpldFitDesInputLmt_xbrPROP_xcpldFitDesInReg_xbrPROP_xcpldFitTemplate_xpla3Optimize DensityPROP_xcpldFitDesPtermLmt_xbrPROP_FunctionBlockInputLimitPROP_FitterOptimization_xpla3DensitySpeedPROP_CompxlibCPLDDetLibPROP_CompxlibAbelLibPROP_CompxlibUni9000LibPROP_CompxlibLangAllPROP_PlsClockEnablePROP_xilxSynthKeepHierarchy_CPLDPROP_ibiswriterGeneratePackageParasiticsPROP_xstUseSyncResetPROP_xstUseSyncSetPROP_xilxSynthXORPreservePROP_xilxSynthMacroPreservePROP_taengine_otherCmdLineOptionsPROP_xcpldFittimRptOptionSummaryPROP_impactConfigFileName_CPLDPROP_hprep6_otherCmdLineOptionsPROP_hprep6_autosigPROP_xcpldUseGlobalSetResetPROP_xcpldUseGlobalOutputEnablesPROP_xcpldUseGlobalClocksPROP_xcpldFitDesSlewFastPROP_cpldfitHDLeqStyleSourcePROP_fitGenSimModelPROP_cpldfit_otherCmdLineOptionsPROP_xcpldFitDesMultiLogicOptPROP_cpldBestFitPROP_CPLDFitkeepioPROP_xcpldFitDesTimingCstPROP_xcpldFitDesInitLowPROP_xcpldUseLocConstAlwaysPROP_EnableWYSIWYGPROP_DesignNamePROP_PartitionForcePlacementPROP_PartitionForceTranslatePROP_PartitionForceSynthPROP_PartitionCreateDeletePROP_ISimCustomSimCmdFileName_gen_tbwPROP_ISimUseCustomSimCmdFile_gen_tbwPROP_ISimCustomSimCmdFileName_behav_tbwPROP_ISimUseCustomSimCmdFile_behav_tbwPROP_ISimCustomSimCmdFileName_behav_tbPROP_ISimUseCustomSimCmdFile_behav_tbPROP_ISimCustomSimCmdFileName_par_tbwPROP_ISimUseCustomSimCmdFile_par_tbwPROP_ISimCustomSimCmdFileName_par_tbPROP_ISimUseCustomSimCmdFile_par_tbPROP_ISimUutInstNamePROP_xstEquivRegRemovalPROP_xilxSynthAddIObufPROP_SynthResSharingPROP_SynthCaseImplStylePROP_SynthFsmEncodePROP_xstBusDelimiter<>PROP_xstHierarchySeparator/PROP_xstGenerateRTLNetlistPROP_xst_otherCmdLineOptionsPROP_xstUserCompileListPROP_xstVerilog2001PROP_xstIniFilePROP_xstWorkDir./xstPROP_xstCaseMaintainPROP_xstLibSearchOrderPROP_xstUseSynthConstFilePROP_SynthConstraintsFileCST files (*.cst)|*.cstXCF files (*.xcf)|*.xcfPROP_SynthOptEffortPROP_SynthOptPROP_SimModelGenMultiHierFilePROP_SimModelRetainHierarchyPROP_SimModelNoEscapeSignalPROP_SimModelPathUsedInSdfAnnDefaultPROP_SimModelIncSdfAnnInVerilogFilePROP_SimModelIncUselibDirInVerilogFilePROP_SimModelRenTopLevModPROP_SimModelOtherNetgenOptsPROP_SimModelRenTopLevInstToPROP_SimModelGenerateTestbenchFilePROP_SimModelRenTopLevArchToStructurePROP_SimModelRocPulseWidthPROP_SimModelBringOutGsrNetAsAPortPROP_SimModelGsrPortNameGSR_PORTPROP_SimModelTocPulseWidthPROP_SimModelBringOutGtsNetAsAPortPROP_SimModelGtsPortNameGTS_PORTPROP_ChangeDevSpeedPROP_CompxlibSimPrimativesPROP_CompxlibUniSimLibPROP_CompxlibOtherCompxlibOptsPROP_CompxlibOverwriteLibOverwritePROP_CompxlibSimPathSearch in PathPROP_CompxlibOutputDir$XILINX/<language>/<simulator>PROP_ISimXadFileNamexpower.xadPROP_ISimGenXadFileXAD file (*.xad)|*.xadPROP_Enable_Incremental_MessagingPROP_Enable_Message_FilteringPROP_Enable_Message_CapturePROP_FitterReportFormatHTMLPROP_FlowDebugLevelPROP_UserConstraintEditorPreferenceConstraints EditorPROP_UserEditorCustomSettingPROP_UserEditorPreferenceISE Text EditorPROP_XplorerModeOffPROP_SimModelAutoInsertGlblModuleInNetlistPROP_PostSynthSimModelName_synthesis.vPROP_SimModelIncUnisimInVerilogFilePROP_SimModelIncSimprimInVerilogFilePROP_xstSafeImplementPROP_XPowerOtherXPowerOptsPROP_XPowerOptBaseTimeUnitpsPROP_XPowerOptUseTimeBasedPROP_XPowerOptLoadVCDFileusfsnsPROP_XPowerOptNumberOfUnitsPROP_XPowerOptInputTclScriptPROP_XPowerOptLoadPCFFilePROP_XPowerOptOutputFilePROP_XPowerOptLoadXMLFilePROP_XPowerOptMaxNumberLinesPROP_XPowerOptVerboseRptPROP_XPowerOptAdvancedVerboseRptPROP_xilxSynthKeepHierarchyPROP_xilxNgdbldMacroPROP_xilxNgdbld_AULPROP_SynthXORCollapsePROP_ngdbuild_otherCmdLineOptionsPROP_impactPortparport0 (LINUX)/dev/ttyb (UNIX)/dev/ttya (UNIX)USB 2 (PC)USB 1 (PC)USB 0 (PC)COM 3 (PC)COM 2 (PC)COM 1 (PC)LPT 3 (PC)LPT 2 (PC)LPT 1 (PC)PROP_impactBaud5760038400192009600PROP_ibiswriterShowAllModelsPROP_ISimCustomCompilationOrderFilePROP_ISimUseCustomCompilationOrderPROP_ISimLibSearchOrderFilePROP_ISimSpecifyDefMacroAndValueChkSyntaxPROP_ISimSpecifySearchDirChkSyntaxPROP_ISimSDFTimingToBeReadSetup TimePROP_ISimVCDFileName_par_tbwxpower.vcdPROP_ISimGenVCDFile_par_tbwPROP_ISimVCDFileName_par_tbPROP_ISimGenVCDFile_par_tbPROP_ISimStoreAllSignalTransitions_behav_tbwPROP_ISimStoreAllSignalTransitions_behav_tbPROP_ISimStoreAllSignalTransitions_par_tbwPROP_ISimStoreAllSignalTransitions_par_tbPROP_ISimSimulationRunTime_behav_tbwPROP_ISimSimulationRun_behav_tbwPROP_ISimSimulationRunTime_behav_tb1000 nsPROP_ISimSimulationRun_behav_tbPROP_ISimSimulationRunTime_par_tbwPROP_ISimSimulationRun_par_tbwPROP_ISimSimulationRunTime_par_tbPROP_ISimSimulationRun_par_tbPK
cS~J

__OBJSTORE__/common/PK
'__OBJSTORE__/common/HierarchicalDesign/PK
14/testbenchTS_EXPANDEDTS_FRAGCOVEREDTS_PACKEDTS_ROUTEDTS_SYNTHESIStestbenchPK
__OBJSTORE__/WebTalk/PK

__REGISTRY__/PK
__REGISTRY__/ProjectNavigator/PK
%__REGISTRY__/ProjectNavigator/regkeysPK
__REGISTRY__/common/PK
false
s
MessageCaptureEnabled
true
s
MessageFilterFile
filter.filter
s
MessageFilteringEnabled
true
s
PK
 __REGISTRY__/_ProjRepoInternal_/PK
C:\Xilinx\ISEexamples\cpu8080\
s
PK
__REGISTRY__/XSLTProcess/PK
_xmsgs/XSLTProcess.xmsgs
s
PK
__REGISTRY__/bitgen/PK
_xmsgs/bitgen.xmsgs
s
PK
__REGISTRY__/cpldfit/PK
_xmsgs/cpldfit.xmsgs
s
PK
__REGISTRY__/dumpngdio/PK
_xmsgs/dumpngdio.xmsgs
s
PK
__REGISTRY__/fuse/PK
_xmsgs/fuse.xmsgs
s
PK
__REGISTRY__/hprep6/PK
_xmsgs/hprep6.xmsgs
s
PK
__REGISTRY__/map/PK
_xmsgs/map.xmsgs
s
PK
__REGISTRY__/netgen/PK
_xmsgs/netgen.xmsgs
s
PK
__REGISTRY__/ngc2edif/PK
OUś00__REGISTRY__/ngc2edif/regkeysClientMessageOutputFile
_xmsgs/ngc2edif.xmsgs
s
PK
__REGISTRY__/ngcbuild/PK
_xmsgs/ngcbuild.xmsgs
s
PK
__REGISTRY__/ngdbuild/PK
_xmsgs/ngdbuild.xmsgs
s
PK
__REGISTRY__/par/PK
_xmsgs/par.xmsgs
s
PK
__REGISTRY__/runner/PK
_xmsgs/runner.xmsgs
s
PK
__REGISTRY__/taengine/PK
_xmsgs/taengine.xmsgs
s
PK
__REGISTRY__/tsim/PK
_xmsgs/tsim.xmsgs
s
PK
__REGISTRY__/trce/PK
,,__REGISTRY__/trce/regkeysClientMessageOutputFile
_xmsgs/trce.xmsgs
s
PK
__REGISTRY__/vhpcomp/PK
_xmsgs/vhpcomp.xmsgs
s
PK
__REGISTRY__/vlogcomp/PK
_xmsgs/vlogcomp.xmsgs
s
PK
__REGISTRY__/idem/PK
_xmsgs/idem.xmsgs
s
PK
__REGISTRY__/xst/PK
_xmsgs/xst.xmsgs
s
PK
 __REGISTRY__/HierarchicalDesign/PK
*__REGISTRY__/HierarchicalDesign/HDProject/PK

s
CommandLine-Ngdbuild

s
CommandLine-Par

s
CommandLine-Xst

s
Previous-NGD

s
Previous-NGM

s
Previous-Packed-NCD

s
Previous-Routed-NCD

s
PK
'__REGISTRY__/HierarchicalDesign/regkeysPK
!__REGISTRY__/ProjectNavigatorGui/PK
(__REGISTRY__/ProjectNavigatorGui/regkeysPK
__REGISTRY__/xreport/PK
__REGISTRY__/xreport/regkeysPK
__REGISTRY__/xfilter/PK
__REGISTRY__/xfilter/regkeysPK
__REGISTRY__/ISimPlugin/PK
__REGISTRY__/ISimPlugin/regkeysPK
__REGISTRY__/WebTalk/PK
*__REGISTRY__/WebTalk/DesignDataCollection/PK
2006-12-09
s
WebTalk-DataCollection-Project-ID
16970
s
PK
__REGISTRY__/WebTalk/regkeysPK
1.1
REGISTRY_VERSION
1.1
OBJSTORE_VERSION
1.3
PKW

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.