OpenCores
URL https://opencores.org/ocsvn/darkriscv/darkriscv/trunk

Subversion Repositories darkriscv

[/] [darkriscv/] [trunk/] [sim/] [darksocv] - Rev 4

Go to most recent revision | Compare with Previous | Blame | View Log

#! /usr/local/Cellar/icarus-verilog/10.3/bin/vvp
:ivl_version "10.3 (stable)" "(v10_3)";
:ivl_delay_selection "TYPICAL";
:vpi_time_precision - 12;
:vpi_module "system";
:vpi_module "vhdl_sys";
:vpi_module "v2005_math";
:vpi_module "va_math";
S_0x7fba8b5429a0 .scope module, "darksimv" "darksimv" 2 36;
 .timescale -9 -12;
v0x7fba8b5df990_0 .var "CLK", 0 0;
v0x7fba8b5dfa50_0 .var "RES", 0 0;
L_0x10bc97008 .functor BUFT 1, C4<1>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5dfae0_0 .net "RX", 0 0, L_0x10bc97008;  1 drivers
v0x7fba8b5dfbb0_0 .net "TX", 0 0, L_0x7fba8b5e8680;  1 drivers
L_0x7fba8b5e9b30 .reduce/or v0x7fba8b5dfa50_0;
S_0x7fba8b55aee0 .scope module, "darksocv" "darksocv" 2 57, 3 34 0, S_0x7fba8b5429a0;
 .timescale -9 -12;
    .port_info 0 /INPUT 1 "XCLK"
    .port_info 1 /INPUT 1 "XRES"
    .port_info 2 /INPUT 1 "UART_RXD"
    .port_info 3 /OUTPUT 1 "UART_TXD"
    .port_info 4 /OUTPUT 4 "LED"
    .port_info 5 /OUTPUT 4 "DEBUG"
L_0x7fba8b5dfc80 .functor BUFZ 1, v0x7fba8b5df990_0, C4<0>, C4<0>, C4<0>;
L_0x7fba8b5e4ac0 .functor AND 1, L_0x7fba8b5e2520, L_0x7fba8b5e4790, C4<1>, C4<1>;
L_0x7fba8b5e4e40 .functor AND 1, L_0x7fba8b5e4bb0, L_0x7fba8b5e4d20, C4<1>, C4<1>;
L_0x7fba8b5e6610 .functor AND 1, L_0x7fba8b5e4ac0, L_0x7fba8b5e64f0, C4<1>, C4<1>;
L_0x7fba8b5e6d60 .functor BUFZ 32, v0x7fba8b5d8260_0, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>;
L_0x7fba8b5e6e40 .functor XOR 8, v0x7fba8b5d7880_0, v0x7fba8b5d74a0_0, C4<00000000>, C4<00000000>;
L_0x7fba8b5e71d0 .functor OR 1, L_0x7fba8b5e6f10, L_0x7fba8b5e74f0, C4<0>, C4<0>;
L_0x7fba8b5e73e0 .functor OR 1, L_0x7fba8b5e71d0, L_0x7fba8b5e7300, C4<0>, C4<0>;
L_0x7fba8b5e8a00 .functor AND 1, L_0x7fba8b5e8ee0, L_0x7fba8b5e4ac0, C4<1>, C4<1>;
L_0x7fba8b5e7680 .functor AND 1, L_0x7fba8b5e8a00, L_0x7fba8b5e75e0, C4<1>, C4<1>;
L_0x7fba8b5e9000 .functor AND 1, L_0x7fba8b5e7680, L_0x7fba8b5e77d0, C4<1>, C4<1>;
L_0x7fba8b5e9820 .functor AND 1, L_0x7fba8b5e9150, L_0x7fba8b5e4e40, C4<1>, C4<1>;
L_0x7fba8b5e94c0 .functor AND 1, L_0x7fba8b5e9820, L_0x7fba8b5e9890, C4<1>, C4<1>;
L_0x7fba8b5e9d50 .functor AND 1, L_0x7fba8b5e94c0, L_0x7fba8b5e9cb0, C4<1>, C4<1>;
v0x7fba8b5d6880_0 .net "BE", 3 0, L_0x7fba8b5e6790;  1 drivers
L_0x10bc98058 .functor BUFT 1, C4<00000000>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5d6910_0 .net "BOARD_CK", 7 0, L_0x10bc98058;  1 drivers
L_0x10bc98010 .functor BUFT 1, C4<01100100>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5d69a0_0 .net "BOARD_CM", 7 0, L_0x10bc98010;  1 drivers
L_0x10bc97fc8 .functor BUFT 1, C4<00000000>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5d6a30_0 .net "BOARD_ID", 7 0, L_0x10bc97fc8;  1 drivers
v0x7fba8b5d6ac0_0 .net "BOARD_IRQ", 7 0, L_0x7fba8b5e6e40;  1 drivers
v0x7fba8b5d6b70_0 .net "CLK", 0 0, L_0x7fba8b5dfc80;  1 drivers
v0x7fba8b5d6c40_0 .var "DACK", 1 0;
v0x7fba8b5d6cd0_0 .net "DADDR", 31 0, L_0x7fba8b5f3fa0;  1 drivers
v0x7fba8b5d6d70_0 .net "DATAI", 31 0, L_0x7fba8b5e6a70;  1 drivers
v0x7fba8b5d6e90_0 .net "DATAO", 31 0, L_0x7fba8b5e44d0;  1 drivers
v0x7fba8b5d6f50_0 .net "DEBUG", 3 0, L_0x7fba8b5e9a10;  1 drivers
v0x7fba8b5d6fe0_0 .net "DHIT", 0 0, L_0x7fba8b5e6cc0;  1 drivers
v0x7fba8b5d7070_0 .net "DLEN", 2 0, L_0x7fba8b5f4b30;  1 drivers
v0x7fba8b5d7120_0 .net "FINISH_REQ", 0 0, v0x7fba8b5d4540_0;  1 drivers
v0x7fba8b5d71f0_0 .var "GPIOFF", 15 0;
v0x7fba8b5d7280_0 .net "HLT", 0 0, L_0x7fba8b5e73e0;  1 drivers
v0x7fba8b5d7310_0 .var "HLT2", 0 0;
v0x7fba8b5d74a0_0 .var "IACK", 7 0;
v0x7fba8b5d7550_0 .net "IADDR", 31 0, L_0x7fba8b5f5130;  1 drivers
v0x7fba8b5d7610_0 .net "IDATA", 31 0, L_0x7fba8b5dfdd0;  1 drivers
L_0x10bc97050 .functor BUFT 1, C4<1>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5d76a0_0 .net "IHIT", 0 0, L_0x10bc97050;  1 drivers
v0x7fba8b5d7730 .array "IOMUX", 3 0;
v0x7fba8b5d7730_0 .net v0x7fba8b5d7730 0, 31 0, L_0x7fba8b5e6c10; 1 drivers
v0x7fba8b5d7730_1 .net v0x7fba8b5d7730 1, 31 0, L_0x7fba8b5e7d90; 1 drivers
v0x7fba8b5d7730_2 .net v0x7fba8b5d7730 2, 31 0, L_0x7fba8b5e70f0; 1 drivers
v0x7fba8b5d7730_3 .net v0x7fba8b5d7730 3, 31 0, L_0x7fba8b5e6d60; 1 drivers
v0x7fba8b5d77d0_0 .var "IOMUXFF", 31 0;
v0x7fba8b5d7880_0 .var "IREQ", 7 0;
v0x7fba8b5d7930_0 .var "IRES", 7 0;
v0x7fba8b5d79e0_0 .net "KDEBUG", 3 0, L_0x7fba8b5f51e0;  1 drivers
v0x7fba8b5d7aa0_0 .net "LED", 3 0, L_0x7fba8b5f5340;  1 drivers
v0x7fba8b5d7b40_0 .var "LEDFF", 15 0;
v0x7fba8b5d7bf0 .array "MEM", 2047 0, 31 0;
v0x7fba8b5d7c90_0 .var "RAMFF", 31 0;
v0x7fba8b5d7d40_0 .net "RD", 0 0, L_0x7fba8b5e4ac0;  1 drivers
v0x7fba8b5d7de0_0 .net "RES", 0 0, L_0x7fba8b5dfd30;  1 drivers
v0x7fba8b5d7eb0_0 .var "ROMFF", 31 0;
v0x7fba8b5d73c0_0 .var "ROMFF2", 31 0;
v0x7fba8b5d8140_0 .net "RW", 0 0, L_0x7fba8b5f40a0;  1 drivers
v0x7fba8b5d81d0_0 .var "TIMER", 31 0;
v0x7fba8b5d8260_0 .var "TIMERFF", 31 0;
v0x7fba8b5d82f0_0 .net "UART_RXD", 0 0, L_0x10bc97008;  alias, 1 drivers
v0x7fba8b5d83a0_0 .net "UART_TXD", 0 0, L_0x7fba8b5e8680;  alias, 1 drivers
v0x7fba8b5d8450_0 .net "UDEBUG", 3 0, L_0x7fba8b5e8bc0;  1 drivers
L_0x10bc97ef0 .functor BUFT 1, C4<1>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5d8500_0 .net "WHIT", 0 0, L_0x10bc97ef0;  1 drivers
v0x7fba8b5d8590_0 .net "WR", 0 0, L_0x7fba8b5e4e40;  1 drivers
v0x7fba8b5d8620_0 .net "XATAI", 31 0, L_0x7fba8b5e22e0;  1 drivers
v0x7fba8b5d86e0_0 .net "XATAO", 31 0, L_0x7fba8b5f3e50;  1 drivers
v0x7fba8b5d8790_0 .net "XCLK", 0 0, v0x7fba8b5df990_0;  1 drivers
v0x7fba8b5d8820_0 .net "XRES", 0 0, L_0x7fba8b5e9b30;  1 drivers
v0x7fba8b5d88c0_0 .var "XTIMER", 0 0;
v0x7fba8b5d8960_0 .net *"_s101", 0 0, L_0x7fba8b5e2400;  1 drivers
v0x7fba8b5d8a10_0 .net *"_s103", 1 0, L_0x7fba8b5e21d0;  1 drivers
v0x7fba8b5d8ac0_0 .net *"_s104", 31 0, L_0x7fba8b5e2640;  1 drivers
L_0x10bc97488 .functor BUFT 1, C4<000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5d8b70_0 .net *"_s107", 29 0, L_0x10bc97488;  1 drivers
L_0x10bc974d0 .functor BUFT 1, C4<00000000000000000000000000000011>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5d8c20_0 .net/2u *"_s108", 31 0, L_0x10bc974d0;  1 drivers
v0x7fba8b5d8cd0_0 .net *"_s11", 1 0, L_0x7fba8b5dfff0;  1 drivers
v0x7fba8b5d8d80_0 .net *"_s110", 0 0, L_0x7fba8b5e2810;  1 drivers
v0x7fba8b5d8e20_0 .net *"_s113", 7 0, L_0x7fba8b5e28b0;  1 drivers
L_0x10bc97518 .functor BUFT 1, C4<xxxxxxxxxxxxxxxxxxxxxxxx>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5d8ed0_0 .net *"_s114", 23 0, L_0x10bc97518;  1 drivers
v0x7fba8b5d8f80_0 .net *"_s116", 31 0, L_0x7fba8b5e26e0;  1 drivers
v0x7fba8b5d9030_0 .net *"_s119", 1 0, L_0x7fba8b5e2ad0;  1 drivers
v0x7fba8b5d90e0_0 .net *"_s12", 31 0, L_0x7fba8b5e00f0;  1 drivers
v0x7fba8b5d9190_0 .net *"_s120", 31 0, L_0x7fba8b5e2990;  1 drivers
L_0x10bc97560 .functor BUFT 1, C4<000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5d9240_0 .net *"_s123", 29 0, L_0x10bc97560;  1 drivers
L_0x10bc975a8 .functor BUFT 1, C4<00000000000000000000000000000010>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5d92f0_0 .net/2u *"_s124", 31 0, L_0x10bc975a8;  1 drivers
v0x7fba8b5d93a0_0 .net *"_s126", 0 0, L_0x7fba8b5e2cc0;  1 drivers
L_0x10bc975f0 .functor BUFT 1, C4<xxxxxxxx>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5d9440_0 .net *"_s128", 7 0, L_0x10bc975f0;  1 drivers
v0x7fba8b5d94f0_0 .net *"_s131", 7 0, L_0x7fba8b5e2b70;  1 drivers
L_0x10bc97638 .functor BUFT 1, C4<xxxxxxxxxxxxxxxx>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5d7f60_0 .net *"_s132", 15 0, L_0x10bc97638;  1 drivers
v0x7fba8b5d8010_0 .net *"_s134", 31 0, L_0x7fba8b5e2c10;  1 drivers
v0x7fba8b5d9580_0 .net *"_s137", 1 0, L_0x7fba8b5e2de0;  1 drivers
v0x7fba8b5d9610_0 .net *"_s138", 31 0, L_0x7fba8b5e2e80;  1 drivers
L_0x10bc97680 .functor BUFT 1, C4<000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5d96a0_0 .net *"_s141", 29 0, L_0x10bc97680;  1 drivers
L_0x10bc976c8 .functor BUFT 1, C4<00000000000000000000000000000001>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5d9730_0 .net/2u *"_s142", 31 0, L_0x10bc976c8;  1 drivers
v0x7fba8b5d97c0_0 .net *"_s144", 0 0, L_0x7fba8b5e3000;  1 drivers
L_0x10bc97710 .functor BUFT 1, C4<xxxxxxxxxxxxxxxx>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5d9860_0 .net *"_s146", 15 0, L_0x10bc97710;  1 drivers
v0x7fba8b5d9910_0 .net *"_s149", 7 0, L_0x7fba8b5e33f0;  1 drivers
L_0x10bc97098 .functor BUFT 1, C4<000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5d99c0_0 .net *"_s15", 29 0, L_0x10bc97098;  1 drivers
L_0x10bc97758 .functor BUFT 1, C4<xxxxxxxx>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5d9a70_0 .net *"_s150", 7 0, L_0x10bc97758;  1 drivers
v0x7fba8b5d9b20_0 .net *"_s152", 31 0, L_0x7fba8b5e3270;  1 drivers
L_0x10bc977a0 .functor BUFT 1, C4<xxxxxxxxxxxxxxxxxxxxxxxx>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5d9bd0_0 .net *"_s154", 23 0, L_0x10bc977a0;  1 drivers
v0x7fba8b5d9c80_0 .net *"_s157", 7 0, L_0x7fba8b5e36a0;  1 drivers
v0x7fba8b5d9d30_0 .net *"_s158", 31 0, L_0x7fba8b5e3510;  1 drivers
L_0x10bc970e0 .functor BUFT 1, C4<00000000000000000000000000000011>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5d9de0_0 .net/2u *"_s16", 31 0, L_0x10bc970e0;  1 drivers
v0x7fba8b5d9e90_0 .net *"_s160", 31 0, L_0x7fba8b5e35f0;  1 drivers
v0x7fba8b5d9f40_0 .net *"_s162", 31 0, L_0x7fba8b5e39b0;  1 drivers
v0x7fba8b5d9ff0_0 .net *"_s164", 31 0, L_0x7fba8b5e3b10;  1 drivers
v0x7fba8b5da0a0_0 .net *"_s167", 0 0, L_0x7fba8b5e3800;  1 drivers
v0x7fba8b5da150_0 .net *"_s169", 0 0, L_0x7fba8b5e3d70;  1 drivers
v0x7fba8b5da200_0 .net *"_s170", 31 0, L_0x7fba8b5e3bb0;  1 drivers
L_0x10bc977e8 .functor BUFT 1, C4<0000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5da2b0_0 .net *"_s173", 30 0, L_0x10bc977e8;  1 drivers
L_0x10bc97830 .functor BUFT 1, C4<00000000000000000000000000000001>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5da360_0 .net/2u *"_s174", 31 0, L_0x10bc97830;  1 drivers
v0x7fba8b5da410_0 .net *"_s176", 0 0, L_0x7fba8b5e3c90;  1 drivers
v0x7fba8b5da4b0_0 .net *"_s179", 15 0, L_0x7fba8b5e3e10;  1 drivers
v0x7fba8b5da560_0 .net *"_s18", 0 0, L_0x7fba8b5e0290;  1 drivers
L_0x10bc97878 .functor BUFT 1, C4<xxxxxxxxxxxxxxxx>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5da600_0 .net *"_s180", 15 0, L_0x10bc97878;  1 drivers
v0x7fba8b5da6b0_0 .net *"_s182", 31 0, L_0x7fba8b5e3eb0;  1 drivers
L_0x10bc978c0 .functor BUFT 1, C4<xxxxxxxxxxxxxxxx>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5da760_0 .net *"_s184", 15 0, L_0x10bc978c0;  1 drivers
v0x7fba8b5da810_0 .net *"_s187", 15 0, L_0x7fba8b5e4210;  1 drivers
v0x7fba8b5da8c0_0 .net *"_s188", 31 0, L_0x7fba8b5e42b0;  1 drivers
v0x7fba8b5da970_0 .net *"_s190", 31 0, L_0x7fba8b5e4020;  1 drivers
v0x7fba8b5daa20_0 .net *"_s192", 31 0, L_0x7fba8b5e45d0;  1 drivers
L_0x10bc97908 .functor BUFT 1, C4<000>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5daad0_0 .net/2u *"_s196", 2 0, L_0x10bc97908;  1 drivers
v0x7fba8b5dab80_0 .net *"_s198", 0 0, L_0x7fba8b5e2520;  1 drivers
v0x7fba8b5dac20_0 .net *"_s200", 31 0, L_0x7fba8b5e4670;  1 drivers
L_0x10bc97950 .functor BUFT 1, C4<0000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5dacd0_0 .net *"_s203", 30 0, L_0x10bc97950;  1 drivers
L_0x10bc97998 .functor BUFT 1, C4<00000000000000000000000000000001>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5dad80_0 .net/2u *"_s204", 31 0, L_0x10bc97998;  1 drivers
v0x7fba8b5dae30_0 .net *"_s206", 0 0, L_0x7fba8b5e4790;  1 drivers
v0x7fba8b5daed0_0 .net *"_s21", 7 0, L_0x7fba8b5e03d0;  1 drivers
L_0x10bc979e0 .functor BUFT 1, C4<000>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5daf80_0 .net/2u *"_s210", 2 0, L_0x10bc979e0;  1 drivers
v0x7fba8b5db030_0 .net *"_s212", 0 0, L_0x7fba8b5e4bb0;  1 drivers
v0x7fba8b5db0d0_0 .net *"_s214", 31 0, L_0x7fba8b5e4f50;  1 drivers
L_0x10bc97a28 .functor BUFT 1, C4<0000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5db180_0 .net *"_s217", 30 0, L_0x10bc97a28;  1 drivers
L_0x10bc97a70 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5db230_0 .net/2u *"_s218", 31 0, L_0x10bc97a70;  1 drivers
v0x7fba8b5db2e0_0 .net *"_s22", 31 0, L_0x7fba8b5e04b0;  1 drivers
v0x7fba8b5db390_0 .net *"_s220", 0 0, L_0x7fba8b5e4d20;  1 drivers
v0x7fba8b5db430_0 .net *"_s225", 0 0, L_0x7fba8b5e5230;  1 drivers
v0x7fba8b5db4e0_0 .net *"_s227", 1 0, L_0x7fba8b5e4ff0;  1 drivers
v0x7fba8b5db590_0 .net *"_s228", 31 0, L_0x7fba8b5e5090;  1 drivers
L_0x10bc97ab8 .functor BUFT 1, C4<000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5db640_0 .net *"_s231", 29 0, L_0x10bc97ab8;  1 drivers
L_0x10bc97b00 .functor BUFT 1, C4<00000000000000000000000000000011>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5db6f0_0 .net/2u *"_s232", 31 0, L_0x10bc97b00;  1 drivers
v0x7fba8b5db7a0_0 .net *"_s234", 0 0, L_0x7fba8b5e5130;  1 drivers
L_0x10bc97b48 .functor BUFT 1, C4<1000>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5db840_0 .net/2u *"_s236", 3 0, L_0x10bc97b48;  1 drivers
v0x7fba8b5db8f0_0 .net *"_s239", 1 0, L_0x7fba8b5e5670;  1 drivers
v0x7fba8b5db9a0_0 .net *"_s240", 31 0, L_0x7fba8b5e53d0;  1 drivers
L_0x10bc97b90 .functor BUFT 1, C4<000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5dba50_0 .net *"_s243", 29 0, L_0x10bc97b90;  1 drivers
L_0x10bc97bd8 .functor BUFT 1, C4<00000000000000000000000000000010>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5dbb00_0 .net/2u *"_s244", 31 0, L_0x10bc97bd8;  1 drivers
v0x7fba8b5dbbb0_0 .net *"_s246", 0 0, L_0x7fba8b5e54f0;  1 drivers
L_0x10bc97c20 .functor BUFT 1, C4<0100>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5dbc50_0 .net/2u *"_s248", 3 0, L_0x10bc97c20;  1 drivers
L_0x10bc97128 .functor BUFT 1, C4<000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5dbd00_0 .net *"_s25", 23 0, L_0x10bc97128;  1 drivers
v0x7fba8b5dbdb0_0 .net *"_s251", 1 0, L_0x7fba8b5e5990;  1 drivers
v0x7fba8b5dbe60_0 .net *"_s252", 31 0, L_0x7fba8b5e5a30;  1 drivers
L_0x10bc97c68 .functor BUFT 1, C4<000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5dbf10_0 .net *"_s255", 29 0, L_0x10bc97c68;  1 drivers
L_0x10bc97cb0 .functor BUFT 1, C4<00000000000000000000000000000001>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5dbfc0_0 .net/2u *"_s256", 31 0, L_0x10bc97cb0;  1 drivers
v0x7fba8b5dc070_0 .net *"_s258", 0 0, L_0x7fba8b5e5750;  1 drivers
L_0x10bc97cf8 .functor BUFT 1, C4<0010>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5dc110_0 .net/2u *"_s260", 3 0, L_0x10bc97cf8;  1 drivers
L_0x10bc97d40 .functor BUFT 1, C4<0001>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5dc1c0_0 .net/2u *"_s262", 3 0, L_0x10bc97d40;  1 drivers
v0x7fba8b5dc270_0 .net *"_s264", 3 0, L_0x7fba8b5e5890;  1 drivers
v0x7fba8b5dc320_0 .net *"_s266", 3 0, L_0x7fba8b5e5e50;  1 drivers
v0x7fba8b5dc3d0_0 .net *"_s268", 3 0, L_0x7fba8b5e5fb0;  1 drivers
v0x7fba8b5dc480_0 .net *"_s27", 1 0, L_0x7fba8b5e0650;  1 drivers
v0x7fba8b5dc530_0 .net *"_s271", 0 0, L_0x7fba8b5e5bf0;  1 drivers
v0x7fba8b5dc5e0_0 .net *"_s273", 0 0, L_0x7fba8b5e5c90;  1 drivers
v0x7fba8b5dc690_0 .net *"_s274", 31 0, L_0x7fba8b5e5d30;  1 drivers
L_0x10bc97d88 .functor BUFT 1, C4<0000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5dc740_0 .net *"_s277", 30 0, L_0x10bc97d88;  1 drivers
L_0x10bc97dd0 .functor BUFT 1, C4<00000000000000000000000000000001>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5dc7f0_0 .net/2u *"_s278", 31 0, L_0x10bc97dd0;  1 drivers
v0x7fba8b5dc8a0_0 .net *"_s28", 31 0, L_0x7fba8b5e0740;  1 drivers
v0x7fba8b5dc950_0 .net *"_s280", 0 0, L_0x7fba8b5e6390;  1 drivers
L_0x10bc97e18 .functor BUFT 1, C4<1100>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5dc9f0_0 .net/2u *"_s282", 3 0, L_0x10bc97e18;  1 drivers
L_0x10bc97e60 .functor BUFT 1, C4<0011>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5dcaa0_0 .net/2u *"_s284", 3 0, L_0x10bc97e60;  1 drivers
v0x7fba8b5dcb50_0 .net *"_s286", 3 0, L_0x7fba8b5e6050;  1 drivers
L_0x10bc97ea8 .functor BUFT 1, C4<1111>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5dcc00_0 .net/2u *"_s288", 3 0, L_0x10bc97ea8;  1 drivers
v0x7fba8b5dccb0_0 .net *"_s290", 3 0, L_0x7fba8b5e61b0;  1 drivers
v0x7fba8b5dcd60_0 .net *"_s296", 31 0, L_0x7fba8b5e6930;  1 drivers
L_0x10bc97f38 .functor BUFT 1, C4<000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5dce10_0 .net *"_s299", 29 0, L_0x10bc97f38;  1 drivers
L_0x10bc97f80 .functor BUFT 1, C4<00000000000000000000000000000001>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5dcec0_0 .net/2u *"_s300", 31 0, L_0x10bc97f80;  1 drivers
v0x7fba8b5dcf70_0 .net *"_s302", 0 0, L_0x7fba8b5e64f0;  1 drivers
v0x7fba8b5dd010_0 .net *"_s304", 0 0, L_0x7fba8b5e6610;  1 drivers
v0x7fba8b5dd0b0_0 .net *"_s309", 0 0, L_0x7fba8b5e69d0;  1 drivers
L_0x10bc97170 .functor BUFT 1, C4<000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5dd160_0 .net *"_s31", 29 0, L_0x10bc97170;  1 drivers
L_0x10bc971b8 .functor BUFT 1, C4<00000000000000000000000000000010>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5dd210_0 .net/2u *"_s32", 31 0, L_0x10bc971b8;  1 drivers
v0x7fba8b5dd2c0_0 .net *"_s330", 0 0, L_0x7fba8b5e6f10;  1 drivers
v0x7fba8b5dd360_0 .net *"_s332", 0 0, L_0x7fba8b5e74f0;  1 drivers
v0x7fba8b5dd400_0 .net *"_s333", 0 0, L_0x7fba8b5e71d0;  1 drivers
v0x7fba8b5dd4a0_0 .net *"_s336", 0 0, L_0x7fba8b5e7300;  1 drivers
v0x7fba8b5dd540_0 .net *"_s34", 0 0, L_0x7fba8b5e0820;  1 drivers
v0x7fba8b5dd5e0_0 .net *"_s340", 0 0, L_0x7fba8b5e8ee0;  1 drivers
v0x7fba8b5dd680_0 .net *"_s341", 0 0, L_0x7fba8b5e8a00;  1 drivers
v0x7fba8b5dd720_0 .net *"_s344", 0 0, L_0x7fba8b5e75e0;  1 drivers
v0x7fba8b5dd7d0_0 .net *"_s345", 0 0, L_0x7fba8b5e7680;  1 drivers
v0x7fba8b5dd870_0 .net *"_s348", 1 0, L_0x7fba8b5e76f0;  1 drivers
v0x7fba8b5dd920_0 .net *"_s349", 31 0, L_0x7fba8b5e3160;  1 drivers
L_0x10bc98370 .functor BUFT 1, C4<000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5dd9d0_0 .net *"_s352", 29 0, L_0x10bc98370;  1 drivers
L_0x10bc983b8 .functor BUFT 1, C4<00000000000000000000000000000001>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5dda80_0 .net/2u *"_s353", 31 0, L_0x10bc983b8;  1 drivers
v0x7fba8b5ddb30_0 .net *"_s355", 0 0, L_0x7fba8b5e77d0;  1 drivers
v0x7fba8b5ddbd0_0 .net *"_s360", 0 0, L_0x7fba8b5e9150;  1 drivers
v0x7fba8b5ddc70_0 .net *"_s361", 0 0, L_0x7fba8b5e9820;  1 drivers
v0x7fba8b5ddd10_0 .net *"_s364", 0 0, L_0x7fba8b5e9890;  1 drivers
v0x7fba8b5dddc0_0 .net *"_s365", 0 0, L_0x7fba8b5e94c0;  1 drivers
v0x7fba8b5dde60_0 .net *"_s368", 1 0, L_0x7fba8b5e9620;  1 drivers
v0x7fba8b5ddf10_0 .net *"_s369", 31 0, L_0x7fba8b5e96c0;  1 drivers
v0x7fba8b5ddfc0_0 .net *"_s37", 7 0, L_0x7fba8b5e09c0;  1 drivers
L_0x10bc98400 .functor BUFT 1, C4<000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5de070_0 .net *"_s372", 29 0, L_0x10bc98400;  1 drivers
L_0x10bc98448 .functor BUFT 1, C4<00000000000000000000000000000001>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5de120_0 .net/2u *"_s373", 31 0, L_0x10bc98448;  1 drivers
v0x7fba8b5de1d0_0 .net *"_s375", 0 0, L_0x7fba8b5e9cb0;  1 drivers
v0x7fba8b5de270_0 .net *"_s38", 31 0, L_0x7fba8b5e0a60;  1 drivers
v0x7fba8b5de320_0 .net *"_s383", 0 0, L_0x7fba8b5e9930;  1 drivers
L_0x10bc97200 .functor BUFT 1, C4<000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5de3d0_0 .net *"_s41", 23 0, L_0x10bc97200;  1 drivers
v0x7fba8b5de480_0 .net *"_s43", 1 0, L_0x7fba8b5e0bf0;  1 drivers
v0x7fba8b5de530_0 .net *"_s44", 31 0, L_0x7fba8b5e0d10;  1 drivers
L_0x10bc97248 .functor BUFT 1, C4<000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5de5e0_0 .net *"_s47", 29 0, L_0x10bc97248;  1 drivers
L_0x10bc97290 .functor BUFT 1, C4<00000000000000000000000000000001>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5de690_0 .net/2u *"_s48", 31 0, L_0x10bc97290;  1 drivers
v0x7fba8b5de740_0 .net *"_s50", 0 0, L_0x7fba8b5e0ef0;  1 drivers
v0x7fba8b5de7e0_0 .net *"_s53", 7 0, L_0x7fba8b5e0f90;  1 drivers
v0x7fba8b5de890_0 .net *"_s54", 31 0, L_0x7fba8b5e10c0;  1 drivers
L_0x10bc972d8 .functor BUFT 1, C4<000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5de940_0 .net *"_s57", 23 0, L_0x10bc972d8;  1 drivers
v0x7fba8b5de9f0_0 .net *"_s59", 7 0, L_0x7fba8b5e1160;  1 drivers
v0x7fba8b5deaa0_0 .net *"_s60", 31 0, L_0x7fba8b5e1320;  1 drivers
L_0x10bc97320 .functor BUFT 1, C4<000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5deb50_0 .net *"_s63", 23 0, L_0x10bc97320;  1 drivers
v0x7fba8b5dec00_0 .net *"_s64", 31 0, L_0x7fba8b5e13c0;  1 drivers
v0x7fba8b5decb0_0 .net *"_s66", 31 0, L_0x7fba8b5e1550;  1 drivers
v0x7fba8b5ded60_0 .net *"_s68", 31 0, L_0x7fba8b5e16b0;  1 drivers
v0x7fba8b5dee10_0 .net *"_s71", 0 0, L_0x7fba8b5e1850;  1 drivers
v0x7fba8b5deec0_0 .net *"_s73", 0 0, L_0x7fba8b5e18f0;  1 drivers
v0x7fba8b5def70_0 .net *"_s74", 31 0, L_0x7fba8b5e1a60;  1 drivers
L_0x10bc97368 .functor BUFT 1, C4<0000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5df020_0 .net *"_s77", 30 0, L_0x10bc97368;  1 drivers
L_0x10bc973b0 .functor BUFT 1, C4<00000000000000000000000000000001>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5df0d0_0 .net/2u *"_s78", 31 0, L_0x10bc973b0;  1 drivers
v0x7fba8b5df180_0 .net *"_s80", 0 0, L_0x7fba8b5e1b00;  1 drivers
v0x7fba8b5df220_0 .net *"_s83", 15 0, L_0x7fba8b5e1cc0;  1 drivers
v0x7fba8b5df2d0_0 .net *"_s84", 31 0, L_0x7fba8b5e1990;  1 drivers
L_0x10bc973f8 .functor BUFT 1, C4<0000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5df380_0 .net *"_s87", 15 0, L_0x10bc973f8;  1 drivers
v0x7fba8b5df430_0 .net *"_s89", 15 0, L_0x7fba8b5e1be0;  1 drivers
v0x7fba8b5df4e0_0 .net *"_s9", 0 0, L_0x7fba8b5dfef0;  1 drivers
v0x7fba8b5df590_0 .net *"_s90", 31 0, L_0x7fba8b5e1ed0;  1 drivers
L_0x10bc97440 .functor BUFT 1, C4<0000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5df640_0 .net *"_s93", 15 0, L_0x10bc97440;  1 drivers
v0x7fba8b5df6f0_0 .net *"_s94", 31 0, L_0x7fba8b5e1da0;  1 drivers
v0x7fba8b5df7a0_0 .net *"_s96", 31 0, L_0x7fba8b5e2130;  1 drivers
v0x7fba8b5df850_0 .var/i "i", 31 0;
E_0x7fba8b5b5d30 .event posedge, v0x7fba8b5d8790_0;
L_0x7fba8b5dfd30 .part v0x7fba8b5d7930_0, 7, 1;
L_0x7fba8b5dfdd0 .functor MUXZ 32, v0x7fba8b5d7eb0_0, v0x7fba8b5d73c0_0, v0x7fba8b5d7310_0, C4<>;
L_0x7fba8b5dfef0 .part L_0x7fba8b5f4b30, 0, 1;
L_0x7fba8b5dfff0 .part L_0x7fba8b5f3fa0, 0, 2;
L_0x7fba8b5e00f0 .concat [ 2 30 0 0], L_0x7fba8b5dfff0, L_0x10bc97098;
L_0x7fba8b5e0290 .cmp/eq 32, L_0x7fba8b5e00f0, L_0x10bc970e0;
L_0x7fba8b5e03d0 .part L_0x7fba8b5e6a70, 24, 8;
L_0x7fba8b5e04b0 .concat [ 8 24 0 0], L_0x7fba8b5e03d0, L_0x10bc97128;
L_0x7fba8b5e0650 .part L_0x7fba8b5f3fa0, 0, 2;
L_0x7fba8b5e0740 .concat [ 2 30 0 0], L_0x7fba8b5e0650, L_0x10bc97170;
L_0x7fba8b5e0820 .cmp/eq 32, L_0x7fba8b5e0740, L_0x10bc971b8;
L_0x7fba8b5e09c0 .part L_0x7fba8b5e6a70, 16, 8;
L_0x7fba8b5e0a60 .concat [ 8 24 0 0], L_0x7fba8b5e09c0, L_0x10bc97200;
L_0x7fba8b5e0bf0 .part L_0x7fba8b5f3fa0, 0, 2;
L_0x7fba8b5e0d10 .concat [ 2 30 0 0], L_0x7fba8b5e0bf0, L_0x10bc97248;
L_0x7fba8b5e0ef0 .cmp/eq 32, L_0x7fba8b5e0d10, L_0x10bc97290;
L_0x7fba8b5e0f90 .part L_0x7fba8b5e6a70, 8, 8;
L_0x7fba8b5e10c0 .concat [ 8 24 0 0], L_0x7fba8b5e0f90, L_0x10bc972d8;
L_0x7fba8b5e1160 .part L_0x7fba8b5e6a70, 0, 8;
L_0x7fba8b5e1320 .concat [ 8 24 0 0], L_0x7fba8b5e1160, L_0x10bc97320;
L_0x7fba8b5e13c0 .functor MUXZ 32, L_0x7fba8b5e1320, L_0x7fba8b5e10c0, L_0x7fba8b5e0ef0, C4<>;
L_0x7fba8b5e1550 .functor MUXZ 32, L_0x7fba8b5e13c0, L_0x7fba8b5e0a60, L_0x7fba8b5e0820, C4<>;
L_0x7fba8b5e16b0 .functor MUXZ 32, L_0x7fba8b5e1550, L_0x7fba8b5e04b0, L_0x7fba8b5e0290, C4<>;
L_0x7fba8b5e1850 .part L_0x7fba8b5f4b30, 1, 1;
L_0x7fba8b5e18f0 .part L_0x7fba8b5f3fa0, 1, 1;
L_0x7fba8b5e1a60 .concat [ 1 31 0 0], L_0x7fba8b5e18f0, L_0x10bc97368;
L_0x7fba8b5e1b00 .cmp/eq 32, L_0x7fba8b5e1a60, L_0x10bc973b0;
L_0x7fba8b5e1cc0 .part L_0x7fba8b5e6a70, 16, 16;
L_0x7fba8b5e1990 .concat [ 16 16 0 0], L_0x7fba8b5e1cc0, L_0x10bc973f8;
L_0x7fba8b5e1be0 .part L_0x7fba8b5e6a70, 0, 16;
L_0x7fba8b5e1ed0 .concat [ 16 16 0 0], L_0x7fba8b5e1be0, L_0x10bc97440;
L_0x7fba8b5e1da0 .functor MUXZ 32, L_0x7fba8b5e1ed0, L_0x7fba8b5e1990, L_0x7fba8b5e1b00, C4<>;
L_0x7fba8b5e2130 .functor MUXZ 32, L_0x7fba8b5e6a70, L_0x7fba8b5e1da0, L_0x7fba8b5e1850, C4<>;
L_0x7fba8b5e22e0 .functor MUXZ 32, L_0x7fba8b5e2130, L_0x7fba8b5e16b0, L_0x7fba8b5dfef0, C4<>;
L_0x7fba8b5e2400 .part L_0x7fba8b5f4b30, 0, 1;
L_0x7fba8b5e21d0 .part L_0x7fba8b5f3fa0, 0, 2;
L_0x7fba8b5e2640 .concat [ 2 30 0 0], L_0x7fba8b5e21d0, L_0x10bc97488;
L_0x7fba8b5e2810 .cmp/eq 32, L_0x7fba8b5e2640, L_0x10bc974d0;
L_0x7fba8b5e28b0 .part L_0x7fba8b5f3e50, 0, 8;
L_0x7fba8b5e26e0 .concat [ 24 8 0 0], L_0x10bc97518, L_0x7fba8b5e28b0;
L_0x7fba8b5e2ad0 .part L_0x7fba8b5f3fa0, 0, 2;
L_0x7fba8b5e2990 .concat [ 2 30 0 0], L_0x7fba8b5e2ad0, L_0x10bc97560;
L_0x7fba8b5e2cc0 .cmp/eq 32, L_0x7fba8b5e2990, L_0x10bc975a8;
L_0x7fba8b5e2b70 .part L_0x7fba8b5f3e50, 0, 8;
L_0x7fba8b5e2c10 .concat [ 16 8 8 0], L_0x10bc97638, L_0x7fba8b5e2b70, L_0x10bc975f0;
L_0x7fba8b5e2de0 .part L_0x7fba8b5f3fa0, 0, 2;
L_0x7fba8b5e2e80 .concat [ 2 30 0 0], L_0x7fba8b5e2de0, L_0x10bc97680;
L_0x7fba8b5e3000 .cmp/eq 32, L_0x7fba8b5e2e80, L_0x10bc976c8;
L_0x7fba8b5e33f0 .part L_0x7fba8b5f3e50, 0, 8;
L_0x7fba8b5e3270 .concat [ 8 8 16 0], L_0x10bc97758, L_0x7fba8b5e33f0, L_0x10bc97710;
L_0x7fba8b5e36a0 .part L_0x7fba8b5f3e50, 0, 8;
L_0x7fba8b5e3510 .concat [ 8 24 0 0], L_0x7fba8b5e36a0, L_0x10bc977a0;
L_0x7fba8b5e35f0 .functor MUXZ 32, L_0x7fba8b5e3510, L_0x7fba8b5e3270, L_0x7fba8b5e3000, C4<>;
L_0x7fba8b5e39b0 .functor MUXZ 32, L_0x7fba8b5e35f0, L_0x7fba8b5e2c10, L_0x7fba8b5e2cc0, C4<>;
L_0x7fba8b5e3b10 .functor MUXZ 32, L_0x7fba8b5e39b0, L_0x7fba8b5e26e0, L_0x7fba8b5e2810, C4<>;
L_0x7fba8b5e3800 .part L_0x7fba8b5f4b30, 1, 1;
L_0x7fba8b5e3d70 .part L_0x7fba8b5f3fa0, 1, 1;
L_0x7fba8b5e3bb0 .concat [ 1 31 0 0], L_0x7fba8b5e3d70, L_0x10bc977e8;
L_0x7fba8b5e3c90 .cmp/eq 32, L_0x7fba8b5e3bb0, L_0x10bc97830;
L_0x7fba8b5e3e10 .part L_0x7fba8b5f3e50, 0, 16;
L_0x7fba8b5e3eb0 .concat [ 16 16 0 0], L_0x10bc97878, L_0x7fba8b5e3e10;
L_0x7fba8b5e4210 .part L_0x7fba8b5f3e50, 0, 16;
L_0x7fba8b5e42b0 .concat [ 16 16 0 0], L_0x7fba8b5e4210, L_0x10bc978c0;
L_0x7fba8b5e4020 .functor MUXZ 32, L_0x7fba8b5e42b0, L_0x7fba8b5e3eb0, L_0x7fba8b5e3c90, C4<>;
L_0x7fba8b5e45d0 .functor MUXZ 32, L_0x7fba8b5f3e50, L_0x7fba8b5e4020, L_0x7fba8b5e3800, C4<>;
L_0x7fba8b5e44d0 .functor MUXZ 32, L_0x7fba8b5e45d0, L_0x7fba8b5e3b10, L_0x7fba8b5e2400, C4<>;
L_0x7fba8b5e2520 .cmp/ne 3, L_0x7fba8b5f4b30, L_0x10bc97908;
L_0x7fba8b5e4670 .concat [ 1 31 0 0], L_0x7fba8b5f40a0, L_0x10bc97950;
L_0x7fba8b5e4790 .cmp/eq 32, L_0x7fba8b5e4670, L_0x10bc97998;
L_0x7fba8b5e4bb0 .cmp/ne 3, L_0x7fba8b5f4b30, L_0x10bc979e0;
L_0x7fba8b5e4f50 .concat [ 1 31 0 0], L_0x7fba8b5f40a0, L_0x10bc97a28;
L_0x7fba8b5e4d20 .cmp/eq 32, L_0x7fba8b5e4f50, L_0x10bc97a70;
L_0x7fba8b5e5230 .part L_0x7fba8b5f4b30, 0, 1;
L_0x7fba8b5e4ff0 .part L_0x7fba8b5f3fa0, 0, 2;
L_0x7fba8b5e5090 .concat [ 2 30 0 0], L_0x7fba8b5e4ff0, L_0x10bc97ab8;
L_0x7fba8b5e5130 .cmp/eq 32, L_0x7fba8b5e5090, L_0x10bc97b00;
L_0x7fba8b5e5670 .part L_0x7fba8b5f3fa0, 0, 2;
L_0x7fba8b5e53d0 .concat [ 2 30 0 0], L_0x7fba8b5e5670, L_0x10bc97b90;
L_0x7fba8b5e54f0 .cmp/eq 32, L_0x7fba8b5e53d0, L_0x10bc97bd8;
L_0x7fba8b5e5990 .part L_0x7fba8b5f3fa0, 0, 2;
L_0x7fba8b5e5a30 .concat [ 2 30 0 0], L_0x7fba8b5e5990, L_0x10bc97c68;
L_0x7fba8b5e5750 .cmp/eq 32, L_0x7fba8b5e5a30, L_0x10bc97cb0;
L_0x7fba8b5e5890 .functor MUXZ 4, L_0x10bc97d40, L_0x10bc97cf8, L_0x7fba8b5e5750, C4<>;
L_0x7fba8b5e5e50 .functor MUXZ 4, L_0x7fba8b5e5890, L_0x10bc97c20, L_0x7fba8b5e54f0, C4<>;
L_0x7fba8b5e5fb0 .functor MUXZ 4, L_0x7fba8b5e5e50, L_0x10bc97b48, L_0x7fba8b5e5130, C4<>;
L_0x7fba8b5e5bf0 .part L_0x7fba8b5f4b30, 1, 1;
L_0x7fba8b5e5c90 .part L_0x7fba8b5f3fa0, 1, 1;
L_0x7fba8b5e5d30 .concat [ 1 31 0 0], L_0x7fba8b5e5c90, L_0x10bc97d88;
L_0x7fba8b5e6390 .cmp/eq 32, L_0x7fba8b5e5d30, L_0x10bc97dd0;
L_0x7fba8b5e6050 .functor MUXZ 4, L_0x10bc97e60, L_0x10bc97e18, L_0x7fba8b5e6390, C4<>;
L_0x7fba8b5e61b0 .functor MUXZ 4, L_0x10bc97ea8, L_0x7fba8b5e6050, L_0x7fba8b5e5bf0, C4<>;
L_0x7fba8b5e6790 .functor MUXZ 4, L_0x7fba8b5e61b0, L_0x7fba8b5e5fb0, L_0x7fba8b5e5230, C4<>;
L_0x7fba8b5e6930 .concat [ 2 30 0 0], v0x7fba8b5d6c40_0, L_0x10bc97f38;
L_0x7fba8b5e64f0 .cmp/ne 32, L_0x7fba8b5e6930, L_0x10bc97f80;
L_0x7fba8b5e6cc0 .reduce/nor L_0x7fba8b5e6610;
L_0x7fba8b5e69d0 .part L_0x7fba8b5f3fa0, 31, 1;
L_0x7fba8b5e6a70 .functor MUXZ 32, v0x7fba8b5d7c90_0, v0x7fba8b5d77d0_0, L_0x7fba8b5e69d0, C4<>;
L_0x7fba8b5e6c10 .concat [ 8 8 8 8], L_0x10bc97fc8, L_0x10bc98010, L_0x10bc98058, L_0x7fba8b5e6e40;
L_0x7fba8b5e70f0 .concat [ 16 16 0 0], v0x7fba8b5d7b40_0, v0x7fba8b5d71f0_0;
L_0x7fba8b5e6f10 .reduce/nor L_0x10bc97050;
L_0x7fba8b5e74f0 .reduce/nor L_0x7fba8b5e6cc0;
L_0x7fba8b5e7300 .reduce/nor L_0x10bc97ef0;
L_0x7fba8b5e8ee0 .reduce/nor L_0x7fba8b5e73e0;
L_0x7fba8b5e75e0 .part L_0x7fba8b5f3fa0, 31, 1;
L_0x7fba8b5e76f0 .part L_0x7fba8b5f3fa0, 2, 2;
L_0x7fba8b5e3160 .concat [ 2 30 0 0], L_0x7fba8b5e76f0, L_0x10bc98370;
L_0x7fba8b5e77d0 .cmp/eq 32, L_0x7fba8b5e3160, L_0x10bc983b8;
L_0x7fba8b5e9150 .reduce/nor L_0x7fba8b5e73e0;
L_0x7fba8b5e9890 .part L_0x7fba8b5f3fa0, 31, 1;
L_0x7fba8b5e9620 .part L_0x7fba8b5f3fa0, 2, 2;
L_0x7fba8b5e96c0 .concat [ 2 30 0 0], L_0x7fba8b5e9620, L_0x10bc98400;
L_0x7fba8b5e9cb0 .cmp/eq 32, L_0x7fba8b5e96c0, L_0x10bc98448;
L_0x7fba8b5f5340 .part v0x7fba8b5d7b40_0, 0, 4;
L_0x7fba8b5e9930 .part v0x7fba8b5d71f0_0, 0, 1;
L_0x7fba8b5e9a10 .concat [ 1 1 1 1], L_0x7fba8b5e4ac0, L_0x7fba8b5e4e40, v0x7fba8b5d88c0_0, L_0x7fba8b5e9930;
S_0x7fba8b559da0 .scope module, "core0" "darkriscv" 3 713, 4 55 0, S_0x7fba8b55aee0;
 .timescale -9 -12;
    .port_info 0 /INPUT 1 "CLK"
    .port_info 1 /INPUT 1 "RES"
    .port_info 2 /INPUT 1 "HLT"
    .port_info 3 /INPUT 32 "IDATA"
    .port_info 4 /OUTPUT 32 "IADDR"
    .port_info 5 /INPUT 32 "DATAI"
    .port_info 6 /OUTPUT 32 "DATAO"
    .port_info 7 /OUTPUT 32 "DADDR"
    .port_info 8 /OUTPUT 3 "DLEN"
    .port_info 9 /OUTPUT 1 "RW"
    .port_info 10 /INPUT 1 "FINISH_REQ"
    .port_info 11 /OUTPUT 4 "DEBUG"
L_0x7fba8b5ec040 .functor BUFZ 32, L_0x7fba8b5ec130, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>;
L_0x7fba8b5ec2f0 .functor BUFZ 32, L_0x7fba8b5ec3f0, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>;
L_0x7fba8b5ec5f0 .functor BUFZ 32, L_0x7fba8b5ec6c0, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>;
L_0x7fba8b5ec8a0 .functor BUFZ 32, L_0x7fba8b5ec980, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>;
L_0x7fba8b5ed110 .functor AND 1, L_0x7fba8b5ed1c0, L_0x7fba8b5ed070, C4<1>, C4<1>;
L_0x7fba8b5edf20 .functor AND 1, L_0x7fba8b5edd20, L_0x7fba8b5ede80, C4<1>, C4<1>;
L_0x7fba8b5ee9f0 .functor BUFZ 32, L_0x7fba8b5ec8a0, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>;
L_0x7fba8b5eecb0 .functor AND 32, L_0x7fba8b5ec5f0, L_0x7fba8b5eeaf0, C4<11111111111111111111111111111111>, C4<11111111111111111111111111111111>;
L_0x7fba8b5eef00 .functor OR 32, L_0x7fba8b5ec5f0, L_0x7fba8b5eeaf0, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>;
L_0x7fba8b5ef200 .functor XOR 32, L_0x7fba8b5ec5f0, L_0x7fba8b5eeaf0, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>;
L_0x7fba8b5efe70 .functor AND 1, v0x7fba8b5ca8b0_0, L_0x7fba8b5efd90, C4<1>, C4<1>;
L_0x7fba8b5f3190 .functor XOR 32, L_0x7fba8b5ec5f0, L_0x7fba8b5eeaf0, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>;
L_0x7fba8b5f2ea0 .functor XOR 32, L_0x7fba8b5ec5f0, L_0x7fba8b5eeaf0, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>;
L_0x7fba8b5f39d0 .functor AND 1, L_0x7fba8b5f1650, L_0x7fba8b5f38f0, C4<1>, C4<1>;
L_0x7fba8b5f3ac0 .functor OR 1, L_0x7fba8b5eaeb0, L_0x7fba8b5eb110, C4<0>, C4<0>;
L_0x7fba8b5f3c40 .functor OR 1, L_0x7fba8b5f3ac0, L_0x7fba8b5f39d0, C4<0>, C4<0>;
L_0x7fba8b5f3e50 .functor BUFZ 32, L_0x7fba8b5ee9f0, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>;
L_0x7fba8b5f41c0 .functor OR 1, L_0x7fba8b5eb8f0, L_0x7fba8b5eb5a0, C4<0>, C4<0>;
L_0x7fba8b5f44d0 .functor AND 1, L_0x7fba8b5f41c0, L_0x7fba8b5f43b0, C4<1>, C4<1>;
L_0x7fba8b5f4670 .functor OR 1, L_0x7fba8b5eb8f0, L_0x7fba8b5eb5a0, C4<0>, C4<0>;
L_0x7fba8b5f4980 .functor AND 1, L_0x7fba8b5f4670, L_0x7fba8b5f4860, C4<1>, C4<1>;
L_0x7fba8b5f4600 .functor OR 1, L_0x7fba8b5eb8f0, L_0x7fba8b5eb5a0, C4<0>, C4<0>;
L_0x7fba8b5f4f30 .functor AND 1, L_0x7fba8b5f4600, L_0x7fba8b5f4e10, C4<1>, C4<1>;
L_0x7fba8b5f5130 .functor BUFZ 32, v0x7fba8b5c9340_0, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>, C4<00000000000000000000000000000000>;
L_0x10bc98490 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5c0340_0 .net "ALL0", 31 0, L_0x10bc98490;  1 drivers
L_0x10bc984d8 .functor BUFT 1, C4<11111111111111111111111111111111>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5c7ed0_0 .net "ALL1", 31 0, L_0x10bc984d8;  1 drivers
v0x7fba8b5c7f70_0 .net "AUIPC", 0 0, L_0x7fba8b5eac20;  1 drivers
v0x7fba8b5c8020_0 .net "BCC", 0 0, L_0x7fba8b5eb360;  1 drivers
v0x7fba8b5c80b0_0 .net "BMUX", 0 0, L_0x7fba8b5f39d0;  1 drivers
L_0x10bc98eb0 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5c8190_0 .net "CDATA", 31 0, L_0x10bc98eb0;  1 drivers
v0x7fba8b5c8240_0 .net "CLK", 0 0, L_0x7fba8b5dfc80;  alias, 1 drivers
v0x7fba8b5c82e0_0 .net "DADDR", 31 0, L_0x7fba8b5f3fa0;  alias, 1 drivers
v0x7fba8b5c8390_0 .net "DATAI", 31 0, L_0x7fba8b5e22e0;  alias, 1 drivers
v0x7fba8b5c84a0_0 .net "DATAO", 31 0, L_0x7fba8b5f3e50;  alias, 1 drivers
v0x7fba8b5c8550_0 .net "DEBUG", 3 0, L_0x7fba8b5f51e0;  alias, 1 drivers
v0x7fba8b5c8600_0 .net "DLEN", 2 0, L_0x7fba8b5f4b30;  alias, 1 drivers
v0x7fba8b5c86b0_0 .net "DPTR", 3 0, L_0x7fba8b5e9f20;  1 drivers
v0x7fba8b5c8760_0 .net "FCT3", 2 0, L_0x7fba8b5ea610;  1 drivers
v0x7fba8b5c8810_0 .net "FCT7", 6 0, L_0x7fba8b5ea6b0;  1 drivers
v0x7fba8b5c88c0_0 .net "FINISH_REQ", 0 0, v0x7fba8b5d4540_0;  alias, 1 drivers
v0x7fba8b5c8960_0 .var "FLUSH", 1 0;
v0x7fba8b5c8af0_0 .net "HLT", 0 0, L_0x7fba8b5e73e0;  alias, 1 drivers
v0x7fba8b5c8b80_0 .net "IADDR", 31 0, L_0x7fba8b5f5130;  alias, 1 drivers
v0x7fba8b5c8c20_0 .net "IDATA", 31 0, L_0x7fba8b5dfdd0;  alias, 1 drivers
v0x7fba8b5c8cd0_0 .net "JAL", 0 0, L_0x7fba8b5eaeb0;  1 drivers
v0x7fba8b5c8d70_0 .net "JALR", 0 0, L_0x7fba8b5eb110;  1 drivers
v0x7fba8b5c8e10_0 .net "JREQ", 0 0, L_0x7fba8b5f3c40;  1 drivers
v0x7fba8b5c8eb0_0 .net "JVAL", 31 0, L_0x7fba8b5f3db0;  1 drivers
v0x7fba8b5c8f60_0 .net "LCC", 0 0, L_0x7fba8b5eb5a0;  1 drivers
v0x7fba8b5c9000_0 .net "LDATA", 31 0, L_0x7fba8b5ee430;  1 drivers
v0x7fba8b5c90b0_0 .net "LUI", 0 0, L_0x7fba8b5ea9e0;  1 drivers
v0x7fba8b5c9150_0 .net "MAC", 0 0, L_0x7fba8b5ebfa0;  1 drivers
v0x7fba8b5c91f0_0 .net "MCC", 0 0, L_0x7fba8b5ebaf0;  1 drivers
v0x7fba8b5c9290_0 .var "NXPC", 31 0;
v0x7fba8b5c9340_0 .var "NXPC2", 31 0;
v0x7fba8b5c93f0_0 .net "OPCODE", 6 0, L_0x7fba8b5ea490;  1 drivers
v0x7fba8b5c94a0_0 .var "PC", 31 0;
v0x7fba8b5c8a10_0 .net "RCC", 0 0, L_0x7fba8b5ebd40;  1 drivers
v0x7fba8b5c9730 .array "REG1", 15 0, 31 0;
v0x7fba8b5c97c0 .array "REG2", 15 0, 31 0;
v0x7fba8b5c9850_0 .net "RES", 0 0, L_0x7fba8b5dfd30;  alias, 1 drivers
v0x7fba8b5c98e0_0 .var "RESMODE", 3 0;
v0x7fba8b5c9980_0 .net "RMDATA", 31 0, L_0x7fba8b5f1980;  1 drivers
v0x7fba8b5c9a30_0 .net "RW", 0 0, L_0x7fba8b5f40a0;  alias, 1 drivers
v0x7fba8b5c9ad0_0 .net "S1PTR", 3 0, L_0x7fba8b5ea040;  1 drivers
v0x7fba8b5c9b80_0 .net/s "S1REG", 31 0, L_0x7fba8b5ec040;  1 drivers
v0x7fba8b5c9c30_0 .net "S2PTR", 3 0, L_0x7fba8b5ea140;  1 drivers
v0x7fba8b5c9ce0_0 .net/s "S2REG", 31 0, L_0x7fba8b5ec2f0;  1 drivers
v0x7fba8b5c9d90_0 .net/s "S2REGX", 31 0, L_0x7fba8b5eeaf0;  1 drivers
v0x7fba8b5c9e40_0 .net "SCC", 0 0, L_0x7fba8b5eb8f0;  1 drivers
v0x7fba8b5c9ee0_0 .net "SDATA", 31 0, L_0x7fba8b5ee9f0;  1 drivers
v0x7fba8b5c9f90_0 .net "SIMM", 31 0, v0x7fba8b5caa90_0;  1 drivers
v0x7fba8b5ca040_0 .net "U1REG", 31 0, L_0x7fba8b5ec5f0;  1 drivers
v0x7fba8b5ca0f0_0 .net "U2REG", 31 0, L_0x7fba8b5ec8a0;  1 drivers
v0x7fba8b5ca1a0_0 .net "U2REGX", 31 0, L_0x7fba8b5eec10;  1 drivers
v0x7fba8b5ca250_0 .net "UIMM", 31 0, v0x7fba8b5c9550_0;  1 drivers
v0x7fba8b5ca300_0 .var "XAUIPC", 0 0;
v0x7fba8b5ca3a0_0 .var "XBCC", 0 0;
v0x7fba8b5ca440_0 .var "XIDATA", 31 0;
v0x7fba8b5ca4f0_0 .var "XJAL", 0 0;
v0x7fba8b5ca590_0 .var "XJALR", 0 0;
v0x7fba8b5ca630_0 .var "XLCC", 0 0;
v0x7fba8b5ca6d0_0 .var "XLUI", 0 0;
v0x7fba8b5ca770_0 .var "XMAC", 0 0;
v0x7fba8b5ca810_0 .var "XMCC", 0 0;
v0x7fba8b5ca8b0_0 .var "XRCC", 0 0;
v0x7fba8b5ca950_0 .var "XRES", 0 0;
v0x7fba8b5ca9f0_0 .var "XSCC", 0 0;
v0x7fba8b5caa90_0 .var "XSIMM", 31 0;
v0x7fba8b5c9550_0 .var "XUIMM", 31 0;
L_0x10bc98ac0 .functor BUFT 1, C4<00>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5c9600_0 .net/2u *"_s102", 1 0, L_0x10bc98ac0;  1 drivers
v0x7fba8b5cab20_0 .net *"_s104", 0 0, L_0x7fba8b5ebec0;  1 drivers
L_0x10bc98b08 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5cabb0_0 .net/2u *"_s106", 0 0, L_0x10bc98b08;  1 drivers
v0x7fba8b5cac40_0 .net *"_s110", 31 0, L_0x7fba8b5ec130;  1 drivers
v0x7fba8b5cacd0_0 .net *"_s112", 5 0, L_0x7fba8b5ec1d0;  1 drivers
L_0x10bc98b50 .functor BUFT 1, C4<00>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5cad60_0 .net *"_s115", 1 0, L_0x10bc98b50;  1 drivers
v0x7fba8b5cae00_0 .net *"_s118", 31 0, L_0x7fba8b5ec3f0;  1 drivers
L_0x10bc98520 .functor BUFT 1, C4<00>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5caeb0_0 .net/2u *"_s12", 1 0, L_0x10bc98520;  1 drivers
v0x7fba8b5caf60_0 .net *"_s120", 5 0, L_0x7fba8b5ec490;  1 drivers
L_0x10bc98b98 .functor BUFT 1, C4<00>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5cb010_0 .net *"_s123", 1 0, L_0x10bc98b98;  1 drivers
v0x7fba8b5cb0c0_0 .net *"_s126", 31 0, L_0x7fba8b5ec6c0;  1 drivers
v0x7fba8b5cb170_0 .net *"_s128", 5 0, L_0x7fba8b5ec760;  1 drivers
L_0x10bc98be0 .functor BUFT 1, C4<00>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5cb220_0 .net *"_s131", 1 0, L_0x10bc98be0;  1 drivers
v0x7fba8b5cb2d0_0 .net *"_s134", 31 0, L_0x7fba8b5ec980;  1 drivers
v0x7fba8b5cb380_0 .net *"_s136", 5 0, L_0x7fba8b5eca20;  1 drivers
L_0x10bc98c28 .functor BUFT 1, C4<00>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5cb430_0 .net *"_s139", 1 0, L_0x10bc98c28;  1 drivers
v0x7fba8b5cb4e0_0 .net *"_s14", 0 0, L_0x7fba8b5ea220;  1 drivers
v0x7fba8b5cb580_0 .net *"_s143", 1 0, L_0x7fba8b5ecc50;  1 drivers
v0x7fba8b5cb630_0 .net *"_s144", 31 0, L_0x7fba8b5ecd30;  1 drivers
L_0x10bc98c70 .functor BUFT 1, C4<000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5cb6e0_0 .net *"_s147", 29 0, L_0x10bc98c70;  1 drivers
L_0x10bc98cb8 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5cb790_0 .net/2u *"_s148", 31 0, L_0x10bc98cb8;  1 drivers
v0x7fba8b5cb840_0 .net *"_s150", 0 0, L_0x7fba8b5ecb60;  1 drivers
v0x7fba8b5cb8e0_0 .net *"_s153", 0 0, L_0x7fba8b5ecfd0;  1 drivers
v0x7fba8b5cb990_0 .net *"_s154", 31 0, L_0x7fba8b5ece10;  1 drivers
L_0x10bc98d00 .functor BUFT 1, C4<0000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5cba40_0 .net *"_s157", 30 0, L_0x10bc98d00;  1 drivers
L_0x10bc98d48 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5cbaf0_0 .net/2u *"_s158", 31 0, L_0x10bc98d48;  1 drivers
L_0x10bc98568 .functor BUFT 1, C4<0000000>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5cbba0_0 .net/2u *"_s16", 6 0, L_0x10bc98568;  1 drivers
v0x7fba8b5cbc50_0 .net *"_s160", 0 0, L_0x7fba8b5ed1c0;  1 drivers
v0x7fba8b5cbcf0_0 .net *"_s163", 0 0, L_0x7fba8b5ed070;  1 drivers
v0x7fba8b5cbda0_0 .net *"_s164", 0 0, L_0x7fba8b5ed110;  1 drivers
v0x7fba8b5cbe40_0 .net *"_s167", 23 0, L_0x7fba8b5ed500;  1 drivers
v0x7fba8b5cbef0_0 .net *"_s169", 23 0, L_0x7fba8b5ed2e0;  1 drivers
v0x7fba8b5cbfa0_0 .net *"_s170", 23 0, L_0x7fba8b5ed750;  1 drivers
v0x7fba8b5cc050_0 .net *"_s173", 7 0, L_0x7fba8b5ed5e0;  1 drivers
v0x7fba8b5cc100_0 .net *"_s174", 31 0, L_0x7fba8b5ed970;  1 drivers
v0x7fba8b5cc1b0_0 .net *"_s177", 1 0, L_0x7fba8b5ed7f0;  1 drivers
v0x7fba8b5cc260_0 .net *"_s178", 31 0, L_0x7fba8b5edba0;  1 drivers
L_0x10bc98d90 .functor BUFT 1, C4<000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5cc310_0 .net *"_s181", 29 0, L_0x10bc98d90;  1 drivers
L_0x10bc98dd8 .functor BUFT 1, C4<00000000000000000000000000000001>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5cc3c0_0 .net/2u *"_s182", 31 0, L_0x10bc98dd8;  1 drivers
v0x7fba8b5cc470_0 .net *"_s184", 0 0, L_0x7fba8b5eda10;  1 drivers
v0x7fba8b5cc510_0 .net *"_s187", 0 0, L_0x7fba8b5edde0;  1 drivers
v0x7fba8b5cc5c0_0 .net *"_s188", 31 0, L_0x7fba8b5edc40;  1 drivers
v0x7fba8b5cc670_0 .net *"_s19", 6 0, L_0x7fba8b5ea370;  1 drivers
L_0x10bc98e20 .functor BUFT 1, C4<0000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5cc720_0 .net *"_s191", 30 0, L_0x10bc98e20;  1 drivers
L_0x10bc98e68 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5cc7d0_0 .net/2u *"_s192", 31 0, L_0x10bc98e68;  1 drivers
v0x7fba8b5cc880_0 .net *"_s194", 0 0, L_0x7fba8b5edd20;  1 drivers
v0x7fba8b5cc920_0 .net *"_s197", 0 0, L_0x7fba8b5ede80;  1 drivers
v0x7fba8b5cc9d0_0 .net *"_s198", 0 0, L_0x7fba8b5edf20;  1 drivers
v0x7fba8b5cca70_0 .net *"_s201", 15 0, L_0x7fba8b5ee270;  1 drivers
v0x7fba8b5ccb20_0 .net *"_s203", 15 0, L_0x7fba8b5ee0b0;  1 drivers
v0x7fba8b5ccbd0_0 .net *"_s204", 15 0, L_0x7fba8b5ee150;  1 drivers
v0x7fba8b5ccc80_0 .net *"_s207", 15 0, L_0x7fba8b5ee570;  1 drivers
v0x7fba8b5ccd30_0 .net *"_s208", 31 0, L_0x7fba8b5ee610;  1 drivers
v0x7fba8b5ccde0_0 .net *"_s210", 31 0, L_0x7fba8b5ee310;  1 drivers
v0x7fba8b5cce90_0 .net *"_s222", 31 0, L_0x7fba8b5ee770;  1 drivers
L_0x10bc98ef8 .functor BUFT 1, C4<00000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5ccf40_0 .net *"_s225", 28 0, L_0x10bc98ef8;  1 drivers
L_0x10bc98f40 .functor BUFT 1, C4<00000000000000000000000000000111>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5ccff0_0 .net/2u *"_s226", 31 0, L_0x10bc98f40;  1 drivers
v0x7fba8b5cd0a0_0 .net *"_s228", 0 0, L_0x7fba8b5ee850;  1 drivers
v0x7fba8b5cd140_0 .net *"_s230", 31 0, L_0x7fba8b5eecb0;  1 drivers
v0x7fba8b5cd1f0_0 .net *"_s232", 31 0, L_0x7fba8b5eeda0;  1 drivers
L_0x10bc98f88 .functor BUFT 1, C4<00000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5cd2a0_0 .net *"_s235", 28 0, L_0x10bc98f88;  1 drivers
L_0x10bc98fd0 .functor BUFT 1, C4<00000000000000000000000000000110>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5cd350_0 .net/2u *"_s236", 31 0, L_0x10bc98fd0;  1 drivers
v0x7fba8b5cd400_0 .net *"_s238", 0 0, L_0x7fba8b5ef120;  1 drivers
v0x7fba8b5cd4a0_0 .net *"_s240", 31 0, L_0x7fba8b5eef00;  1 drivers
v0x7fba8b5cd550_0 .net *"_s242", 31 0, L_0x7fba8b5eefd0;  1 drivers
L_0x10bc99018 .functor BUFT 1, C4<00000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5cd600_0 .net *"_s245", 28 0, L_0x10bc99018;  1 drivers
L_0x10bc99060 .functor BUFT 1, C4<00000000000000000000000000000100>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5cd6b0_0 .net/2u *"_s246", 31 0, L_0x10bc99060;  1 drivers
v0x7fba8b5cd760_0 .net *"_s248", 0 0, L_0x7fba8b5ef070;  1 drivers
v0x7fba8b5cd800_0 .net *"_s250", 31 0, L_0x7fba8b5ef200;  1 drivers
v0x7fba8b5cd8b0_0 .net *"_s252", 31 0, L_0x7fba8b5ef370;  1 drivers
L_0x10bc990a8 .functor BUFT 1, C4<00000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5cd960_0 .net *"_s255", 28 0, L_0x10bc990a8;  1 drivers
L_0x10bc990f0 .functor BUFT 1, C4<00000000000000000000000000000011>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5cda10_0 .net/2u *"_s256", 31 0, L_0x10bc990f0;  1 drivers
v0x7fba8b5cdac0_0 .net *"_s258", 0 0, L_0x7fba8b5ef7b0;  1 drivers
v0x7fba8b5cdb60_0 .net *"_s260", 0 0, L_0x7fba8b5ef570;  1 drivers
L_0x10bc99138 .functor BUFT 1, C4<00000000000000000000000000000001>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5cdc00_0 .net/2u *"_s262", 31 0, L_0x10bc99138;  1 drivers
L_0x10bc99180 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5cdcb0_0 .net/2u *"_s264", 31 0, L_0x10bc99180;  1 drivers
v0x7fba8b5cdd60_0 .net *"_s266", 31 0, L_0x7fba8b5ef650;  1 drivers
v0x7fba8b5cde10_0 .net *"_s268", 31 0, L_0x7fba8b5efb90;  1 drivers
L_0x10bc991c8 .functor BUFT 1, C4<00000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5cdec0_0 .net *"_s271", 28 0, L_0x10bc991c8;  1 drivers
L_0x10bc99210 .functor BUFT 1, C4<00000000000000000000000000000010>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5cdf70_0 .net/2u *"_s272", 31 0, L_0x10bc99210;  1 drivers
v0x7fba8b5ce020_0 .net *"_s274", 0 0, L_0x7fba8b5efc70;  1 drivers
v0x7fba8b5ce0c0_0 .net *"_s276", 0 0, L_0x7fba8b5ef8f0;  1 drivers
L_0x10bc99258 .functor BUFT 1, C4<00000000000000000000000000000001>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5ce160_0 .net/2u *"_s278", 31 0, L_0x10bc99258;  1 drivers
L_0x10bc992a0 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5ce210_0 .net/2u *"_s280", 31 0, L_0x10bc992a0;  1 drivers
v0x7fba8b5ce2c0_0 .net *"_s282", 31 0, L_0x7fba8b5ef9d0;  1 drivers
v0x7fba8b5ce370_0 .net *"_s284", 31 0, L_0x7fba8b5f0010;  1 drivers
L_0x10bc992e8 .functor BUFT 1, C4<00000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5ce420_0 .net *"_s287", 28 0, L_0x10bc992e8;  1 drivers
L_0x10bc99330 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5ce4d0_0 .net/2u *"_s288", 31 0, L_0x10bc99330;  1 drivers
v0x7fba8b5ce580_0 .net *"_s290", 0 0, L_0x7fba8b5f00f0;  1 drivers
v0x7fba8b5ce620_0 .net *"_s293", 0 0, L_0x7fba8b5efd90;  1 drivers
v0x7fba8b5ce6d0_0 .net *"_s294", 0 0, L_0x7fba8b5efe70;  1 drivers
v0x7fba8b5ce770_0 .net *"_s296", 31 0, L_0x7fba8b5f04c0;  1 drivers
v0x7fba8b5ce820_0 .net *"_s298", 31 0, L_0x7fba8b5f0230;  1 drivers
L_0x10bc985b0 .functor BUFT 1, C4<00>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5ce8d0_0 .net/2u *"_s30", 1 0, L_0x10bc985b0;  1 drivers
v0x7fba8b5ce980_0 .net *"_s300", 31 0, L_0x7fba8b5f02d0;  1 drivers
v0x7fba8b5cea30_0 .net *"_s302", 31 0, L_0x7fba8b5f03f0;  1 drivers
L_0x10bc99378 .functor BUFT 1, C4<00000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5ceae0_0 .net *"_s305", 28 0, L_0x10bc99378;  1 drivers
L_0x10bc993c0 .functor BUFT 1, C4<00000000000000000000000000000001>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5ceb90_0 .net/2u *"_s306", 31 0, L_0x10bc993c0;  1 drivers
v0x7fba8b5cec40_0 .net *"_s308", 0 0, L_0x7fba8b5f0850;  1 drivers
v0x7fba8b5cece0_0 .net *"_s311", 4 0, L_0x7fba8b5f0560;  1 drivers
v0x7fba8b5ced90_0 .net *"_s312", 31 0, L_0x7fba8b5f0680;  1 drivers
v0x7fba8b5cee40_0 .net *"_s315", 0 0, L_0x7fba8b5f0720;  1 drivers
v0x7fba8b5ceef0_0 .net *"_s317", 4 0, L_0x7fba8b5f0970;  1 drivers
v0x7fba8b5cefa0_0 .net *"_s318", 31 0, L_0x7fba8b5f0a10;  1 drivers
v0x7fba8b5cf050_0 .net *"_s32", 0 0, L_0x7fba8b5ea8a0;  1 drivers
v0x7fba8b5cf0f0_0 .net *"_s321", 4 0, L_0x7fba8b5f0ab0;  1 drivers
v0x7fba8b5cf1a0_0 .net *"_s322", 31 0, L_0x7fba8b5f0b50;  1 drivers
v0x7fba8b5cf250_0 .net *"_s324", 31 0, L_0x7fba8b5f1020;  1 drivers
v0x7fba8b5cf300_0 .net *"_s326", 31 0, L_0x7fba8b5f0db0;  1 drivers
v0x7fba8b5cf3b0_0 .net *"_s328", 31 0, L_0x7fba8b5f0ed0;  1 drivers
v0x7fba8b5cf460_0 .net *"_s330", 31 0, L_0x7fba8b5f1410;  1 drivers
v0x7fba8b5cf510_0 .net *"_s332", 31 0, L_0x7fba8b5f1570;  1 drivers
v0x7fba8b5cf5c0_0 .net *"_s334", 31 0, L_0x7fba8b5f1180;  1 drivers
v0x7fba8b5cf670_0 .net *"_s336", 31 0, L_0x7fba8b5f12a0;  1 drivers
L_0x10bc985f8 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5cf720_0 .net/2u *"_s34", 0 0, L_0x10bc985f8;  1 drivers
v0x7fba8b5cf7d0_0 .net *"_s340", 31 0, L_0x7fba8b5f1ae0;  1 drivers
L_0x10bc99408 .functor BUFT 1, C4<0000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5cf880_0 .net *"_s343", 30 0, L_0x10bc99408;  1 drivers
L_0x10bc99450 .functor BUFT 1, C4<00000000000000000000000000000001>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5cf930_0 .net/2u *"_s344", 31 0, L_0x10bc99450;  1 drivers
v0x7fba8b5cf9e0_0 .net *"_s346", 0 0, L_0x7fba8b5f1650;  1 drivers
v0x7fba8b5cfa80_0 .net *"_s348", 31 0, L_0x7fba8b5f1770;  1 drivers
L_0x10bc99498 .functor BUFT 1, C4<00000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5cfb30_0 .net *"_s351", 28 0, L_0x10bc99498;  1 drivers
L_0x10bc994e0 .functor BUFT 1, C4<00000000000000000000000000000100>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5cfbe0_0 .net/2u *"_s352", 31 0, L_0x10bc994e0;  1 drivers
v0x7fba8b5cfc90_0 .net *"_s354", 0 0, L_0x7fba8b5f1850;  1 drivers
v0x7fba8b5cfd30_0 .net *"_s356", 0 0, L_0x7fba8b5f1f50;  1 drivers
v0x7fba8b5cfdd0_0 .net *"_s358", 31 0, L_0x7fba8b5f1bc0;  1 drivers
L_0x10bc99528 .functor BUFT 1, C4<0000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5cfe80_0 .net *"_s361", 30 0, L_0x10bc99528;  1 drivers
v0x7fba8b5cff30_0 .net *"_s362", 31 0, L_0x7fba8b5f1ca0;  1 drivers
L_0x10bc99570 .functor BUFT 1, C4<00000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5cffe0_0 .net *"_s365", 28 0, L_0x10bc99570;  1 drivers
L_0x10bc995b8 .functor BUFT 1, C4<00000000000000000000000000000101>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5d0090_0 .net/2u *"_s366", 31 0, L_0x10bc995b8;  1 drivers
v0x7fba8b5d0140_0 .net *"_s368", 0 0, L_0x7fba8b5f1d80;  1 drivers
v0x7fba8b5d01e0_0 .net *"_s370", 0 0, L_0x7fba8b5f23e0;  1 drivers
v0x7fba8b5d0280_0 .net *"_s372", 31 0, L_0x7fba8b5f2070;  1 drivers
L_0x10bc99600 .functor BUFT 1, C4<0000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5d0330_0 .net *"_s375", 30 0, L_0x10bc99600;  1 drivers
v0x7fba8b5d03e0_0 .net *"_s376", 31 0, L_0x7fba8b5f2150;  1 drivers
L_0x10bc99648 .functor BUFT 1, C4<00000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5d0490_0 .net *"_s379", 28 0, L_0x10bc99648;  1 drivers
L_0x10bc98640 .functor BUFT 1, C4<00>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5d0540_0 .net/2u *"_s38", 1 0, L_0x10bc98640;  1 drivers
L_0x10bc99690 .functor BUFT 1, C4<00000000000000000000000000000110>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5d05f0_0 .net/2u *"_s380", 31 0, L_0x10bc99690;  1 drivers
v0x7fba8b5d06a0_0 .net *"_s382", 0 0, L_0x7fba8b5f2230;  1 drivers
v0x7fba8b5d0740_0 .net *"_s384", 0 0, L_0x7fba8b5f2810;  1 drivers
v0x7fba8b5d07e0_0 .net *"_s386", 31 0, L_0x7fba8b5f2480;  1 drivers
L_0x10bc996d8 .functor BUFT 1, C4<0000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5d0890_0 .net *"_s389", 30 0, L_0x10bc996d8;  1 drivers
v0x7fba8b5d0940_0 .net *"_s390", 31 0, L_0x7fba8b5f2520;  1 drivers
L_0x10bc99720 .functor BUFT 1, C4<00000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5d09f0_0 .net *"_s393", 28 0, L_0x10bc99720;  1 drivers
L_0x10bc99768 .functor BUFT 1, C4<00000000000000000000000000000111>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5d0aa0_0 .net/2u *"_s394", 31 0, L_0x10bc99768;  1 drivers
v0x7fba8b5d0b50_0 .net *"_s396", 0 0, L_0x7fba8b5ef430;  1 drivers
v0x7fba8b5d0bf0_0 .net *"_s398", 0 0, L_0x7fba8b5f2ca0;  1 drivers
v0x7fba8b5d0c90_0 .net *"_s40", 0 0, L_0x7fba8b5eab20;  1 drivers
v0x7fba8b5d0d30_0 .net *"_s400", 31 0, L_0x7fba8b5f28b0;  1 drivers
L_0x10bc997b0 .functor BUFT 1, C4<0000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5d0de0_0 .net *"_s403", 30 0, L_0x10bc997b0;  1 drivers
v0x7fba8b5d0e90_0 .net *"_s404", 31 0, L_0x7fba8b5f29b0;  1 drivers
L_0x10bc997f8 .functor BUFT 1, C4<00000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5d0f40_0 .net *"_s407", 28 0, L_0x10bc997f8;  1 drivers
L_0x10bc99840 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5d0ff0_0 .net/2u *"_s408", 31 0, L_0x10bc99840;  1 drivers
v0x7fba8b5d10a0_0 .net *"_s410", 0 0, L_0x7fba8b5f2ad0;  1 drivers
v0x7fba8b5d1140_0 .net *"_s412", 31 0, L_0x7fba8b5f3190;  1 drivers
v0x7fba8b5d11f0_0 .net *"_s415", 0 0, L_0x7fba8b5f3300;  1 drivers
v0x7fba8b5d1290_0 .net *"_s416", 31 0, L_0x7fba8b5f2dc0;  1 drivers
L_0x10bc99888 .functor BUFT 1, C4<0000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5d1340_0 .net *"_s419", 30 0, L_0x10bc99888;  1 drivers
L_0x10bc98688 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5d13f0_0 .net/2u *"_s42", 0 0, L_0x10bc98688;  1 drivers
v0x7fba8b5d14a0_0 .net *"_s420", 31 0, L_0x7fba8b5f2ea0;  1 drivers
v0x7fba8b5d1550_0 .net *"_s422", 31 0, L_0x7fba8b5f2fb0;  1 drivers
v0x7fba8b5d1600_0 .net *"_s424", 31 0, L_0x7fba8b5f30f0;  1 drivers
v0x7fba8b5d16b0_0 .net *"_s426", 31 0, L_0x7fba8b5f3850;  1 drivers
v0x7fba8b5d1760_0 .net *"_s428", 31 0, L_0x7fba8b5f3460;  1 drivers
v0x7fba8b5d1810_0 .net *"_s430", 31 0, L_0x7fba8b5f35c0;  1 drivers
L_0x10bc998d0 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5d18c0_0 .net/2u *"_s432", 31 0, L_0x10bc998d0;  1 drivers
v0x7fba8b5d1970_0 .net *"_s434", 0 0, L_0x7fba8b5f38f0;  1 drivers
v0x7fba8b5d1a10_0 .net *"_s438", 0 0, L_0x7fba8b5f3ac0;  1 drivers
v0x7fba8b5d1ab0_0 .net *"_s442", 31 0, L_0x7fba8b5f3cb0;  1 drivers
v0x7fba8b5d1b60_0 .net *"_s454", 0 0, L_0x7fba8b5f41c0;  1 drivers
v0x7fba8b5d1c00_0 .net *"_s457", 1 0, L_0x7fba8b5f4230;  1 drivers
v0x7fba8b5d1cb0_0 .net *"_s458", 31 0, L_0x7fba8b5f42d0;  1 drivers
L_0x10bc986d0 .functor BUFT 1, C4<00>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5d1d60_0 .net/2u *"_s46", 1 0, L_0x10bc986d0;  1 drivers
L_0x10bc99918 .functor BUFT 1, C4<000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5d1e10_0 .net *"_s461", 29 0, L_0x10bc99918;  1 drivers
L_0x10bc99960 .functor BUFT 1, C4<00000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5d1ec0_0 .net/2u *"_s462", 31 0, L_0x10bc99960;  1 drivers
v0x7fba8b5d1f70_0 .net *"_s464", 0 0, L_0x7fba8b5f43b0;  1 drivers
v0x7fba8b5d2010_0 .net *"_s466", 0 0, L_0x7fba8b5f44d0;  1 drivers
v0x7fba8b5d20b0_0 .net *"_s470", 0 0, L_0x7fba8b5f4670;  1 drivers
v0x7fba8b5d2150_0 .net *"_s473", 1 0, L_0x7fba8b5f46e0;  1 drivers
v0x7fba8b5d2200_0 .net *"_s474", 31 0, L_0x7fba8b5f4780;  1 drivers
L_0x10bc999a8 .functor BUFT 1, C4<000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5d22b0_0 .net *"_s477", 29 0, L_0x10bc999a8;  1 drivers
L_0x10bc999f0 .functor BUFT 1, C4<00000000000000000000000000000001>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5d2360_0 .net/2u *"_s478", 31 0, L_0x10bc999f0;  1 drivers
v0x7fba8b5d2410_0 .net *"_s48", 0 0, L_0x7fba8b5ead90;  1 drivers
v0x7fba8b5d24b0_0 .net *"_s480", 0 0, L_0x7fba8b5f4860;  1 drivers
v0x7fba8b5d2550_0 .net *"_s482", 0 0, L_0x7fba8b5f4980;  1 drivers
v0x7fba8b5d25f0_0 .net *"_s487", 0 0, L_0x7fba8b5f4600;  1 drivers
v0x7fba8b5d2690_0 .net *"_s490", 1 0, L_0x7fba8b5f4c90;  1 drivers
v0x7fba8b5d2740_0 .net *"_s491", 31 0, L_0x7fba8b5f4d30;  1 drivers
L_0x10bc99a38 .functor BUFT 1, C4<000000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5d27f0_0 .net *"_s494", 29 0, L_0x10bc99a38;  1 drivers
L_0x10bc99a80 .functor BUFT 1, C4<00000000000000000000000000000010>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5d28a0_0 .net/2u *"_s495", 31 0, L_0x10bc99a80;  1 drivers
v0x7fba8b5d2950_0 .net *"_s497", 0 0, L_0x7fba8b5f4e10;  1 drivers
v0x7fba8b5d29f0_0 .net *"_s499", 0 0, L_0x7fba8b5f4f30;  1 drivers
v0x7fba8b5d2a90_0 .net *"_s5", 3 0, L_0x7fba8b5e9e40;  1 drivers
L_0x10bc98718 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5d2b40_0 .net/2u *"_s50", 0 0, L_0x10bc98718;  1 drivers
v0x7fba8b5d2bf0_0 .net *"_s504", 0 0, L_0x7fba8b5f4a70;  1 drivers
L_0x10bc98760 .functor BUFT 1, C4<00>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5d2c90_0 .net/2u *"_s54", 1 0, L_0x10bc98760;  1 drivers
v0x7fba8b5d2d40_0 .net *"_s56", 0 0, L_0x7fba8b5eb030;  1 drivers
L_0x10bc987a8 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5d2de0_0 .net/2u *"_s58", 0 0, L_0x10bc987a8;  1 drivers
L_0x10bc987f0 .functor BUFT 1, C4<00>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5d2e90_0 .net/2u *"_s62", 1 0, L_0x10bc987f0;  1 drivers
v0x7fba8b5d2f40_0 .net *"_s64", 0 0, L_0x7fba8b5eb260;  1 drivers
L_0x10bc98838 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5d2fe0_0 .net/2u *"_s66", 0 0, L_0x10bc98838;  1 drivers
L_0x10bc98880 .functor BUFT 1, C4<00>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5d3090_0 .net/2u *"_s70", 1 0, L_0x10bc98880;  1 drivers
v0x7fba8b5d3140_0 .net *"_s72", 0 0, L_0x7fba8b5eb4c0;  1 drivers
L_0x10bc988c8 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5d31e0_0 .net/2u *"_s74", 0 0, L_0x10bc988c8;  1 drivers
L_0x10bc98910 .functor BUFT 1, C4<00>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5d3290_0 .net/2u *"_s78", 1 0, L_0x10bc98910;  1 drivers
v0x7fba8b5d3340_0 .net *"_s80", 0 0, L_0x7fba8b5eb710;  1 drivers
L_0x10bc98958 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5d33e0_0 .net/2u *"_s82", 0 0, L_0x10bc98958;  1 drivers
L_0x10bc989a0 .functor BUFT 1, C4<00>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5d3490_0 .net/2u *"_s86", 1 0, L_0x10bc989a0;  1 drivers
v0x7fba8b5d3540_0 .net *"_s88", 0 0, L_0x7fba8b5eba50;  1 drivers
L_0x10bc989e8 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5d35e0_0 .net/2u *"_s90", 0 0, L_0x10bc989e8;  1 drivers
L_0x10bc98a30 .functor BUFT 1, C4<00>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5d3690_0 .net/2u *"_s94", 1 0, L_0x10bc98a30;  1 drivers
v0x7fba8b5d3740_0 .net *"_s96", 0 0, L_0x7fba8b5ebc60;  1 drivers
L_0x10bc98a78 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5d37e0_0 .net/2u *"_s98", 0 0, L_0x10bc98a78;  1 drivers
v0x7fba8b5d3890_0 .var/i "clocks", 31 0;
v0x7fba8b5d3940_0 .var/i "flush", 31 0;
v0x7fba8b5d39f0_0 .var/i "halt", 31 0;
v0x7fba8b5d3aa0_0 .var/i "load", 31 0;
v0x7fba8b5d3b50_0 .var/i "store", 31 0;
v0x7fba8b5d3c00_0 .var/i "thread0", 31 0;
v0x7fba8b5d3cb0_0 .var/i "thread1", 31 0;
E_0x7fba8b5b62c0 .event posedge, v0x7fba8b5c8240_0;
L_0x7fba8b5e9e40 .part v0x7fba8b5ca440_0, 7, 4;
L_0x7fba8b5e9f20 .functor MUXZ 4, L_0x7fba8b5e9e40, v0x7fba8b5c98e0_0, v0x7fba8b5ca950_0, C4<>;
L_0x7fba8b5ea040 .part v0x7fba8b5ca440_0, 15, 4;
L_0x7fba8b5ea140 .part v0x7fba8b5ca440_0, 20, 4;
L_0x7fba8b5ea220 .cmp/ne 2, v0x7fba8b5c8960_0, L_0x10bc98520;
L_0x7fba8b5ea370 .part v0x7fba8b5ca440_0, 0, 7;
L_0x7fba8b5ea490 .functor MUXZ 7, L_0x7fba8b5ea370, L_0x10bc98568, L_0x7fba8b5ea220, C4<>;
L_0x7fba8b5ea610 .part v0x7fba8b5ca440_0, 12, 3;
L_0x7fba8b5ea6b0 .part v0x7fba8b5ca440_0, 25, 7;
L_0x7fba8b5ea8a0 .cmp/ne 2, v0x7fba8b5c8960_0, L_0x10bc985b0;
L_0x7fba8b5ea9e0 .functor MUXZ 1, v0x7fba8b5ca6d0_0, L_0x10bc985f8, L_0x7fba8b5ea8a0, C4<>;
L_0x7fba8b5eab20 .cmp/ne 2, v0x7fba8b5c8960_0, L_0x10bc98640;
L_0x7fba8b5eac20 .functor MUXZ 1, v0x7fba8b5ca300_0, L_0x10bc98688, L_0x7fba8b5eab20, C4<>;
L_0x7fba8b5ead90 .cmp/ne 2, v0x7fba8b5c8960_0, L_0x10bc986d0;
L_0x7fba8b5eaeb0 .functor MUXZ 1, v0x7fba8b5ca4f0_0, L_0x10bc98718, L_0x7fba8b5ead90, C4<>;
L_0x7fba8b5eb030 .cmp/ne 2, v0x7fba8b5c8960_0, L_0x10bc98760;
L_0x7fba8b5eb110 .functor MUXZ 1, v0x7fba8b5ca590_0, L_0x10bc987a8, L_0x7fba8b5eb030, C4<>;
L_0x7fba8b5eb260 .cmp/ne 2, v0x7fba8b5c8960_0, L_0x10bc987f0;
L_0x7fba8b5eb360 .functor MUXZ 1, v0x7fba8b5ca3a0_0, L_0x10bc98838, L_0x7fba8b5eb260, C4<>;
L_0x7fba8b5eb4c0 .cmp/ne 2, v0x7fba8b5c8960_0, L_0x10bc98880;
L_0x7fba8b5eb5a0 .functor MUXZ 1, v0x7fba8b5ca630_0, L_0x10bc988c8, L_0x7fba8b5eb4c0, C4<>;
L_0x7fba8b5eb710 .cmp/ne 2, v0x7fba8b5c8960_0, L_0x10bc98910;
L_0x7fba8b5eb8f0 .functor MUXZ 1, v0x7fba8b5ca9f0_0, L_0x10bc98958, L_0x7fba8b5eb710, C4<>;
L_0x7fba8b5eba50 .cmp/ne 2, v0x7fba8b5c8960_0, L_0x10bc989a0;
L_0x7fba8b5ebaf0 .functor MUXZ 1, v0x7fba8b5ca810_0, L_0x10bc989e8, L_0x7fba8b5eba50, C4<>;
L_0x7fba8b5ebc60 .cmp/ne 2, v0x7fba8b5c8960_0, L_0x10bc98a30;
L_0x7fba8b5ebd40 .functor MUXZ 1, v0x7fba8b5ca8b0_0, L_0x10bc98a78, L_0x7fba8b5ebc60, C4<>;
L_0x7fba8b5ebec0 .cmp/ne 2, v0x7fba8b5c8960_0, L_0x10bc98ac0;
L_0x7fba8b5ebfa0 .functor MUXZ 1, v0x7fba8b5ca770_0, L_0x10bc98b08, L_0x7fba8b5ebec0, C4<>;
L_0x7fba8b5ec130 .array/port v0x7fba8b5c9730, L_0x7fba8b5ec1d0;
L_0x7fba8b5ec1d0 .concat [ 4 2 0 0], L_0x7fba8b5ea040, L_0x10bc98b50;
L_0x7fba8b5ec3f0 .array/port v0x7fba8b5c97c0, L_0x7fba8b5ec490;
L_0x7fba8b5ec490 .concat [ 4 2 0 0], L_0x7fba8b5ea140, L_0x10bc98b98;
L_0x7fba8b5ec6c0 .array/port v0x7fba8b5c9730, L_0x7fba8b5ec760;
L_0x7fba8b5ec760 .concat [ 4 2 0 0], L_0x7fba8b5ea040, L_0x10bc98be0;
L_0x7fba8b5ec980 .array/port v0x7fba8b5c97c0, L_0x7fba8b5eca20;
L_0x7fba8b5eca20 .concat [ 4 2 0 0], L_0x7fba8b5ea140, L_0x10bc98c28;
L_0x7fba8b5ecc50 .part L_0x7fba8b5ea610, 0, 2;
L_0x7fba8b5ecd30 .concat [ 2 30 0 0], L_0x7fba8b5ecc50, L_0x10bc98c70;
L_0x7fba8b5ecb60 .cmp/eq 32, L_0x7fba8b5ecd30, L_0x10bc98cb8;
L_0x7fba8b5ecfd0 .part L_0x7fba8b5ea610, 2, 1;
L_0x7fba8b5ece10 .concat [ 1 31 0 0], L_0x7fba8b5ecfd0, L_0x10bc98d00;
L_0x7fba8b5ed1c0 .cmp/eq 32, L_0x7fba8b5ece10, L_0x10bc98d48;
L_0x7fba8b5ed070 .part L_0x7fba8b5e22e0, 7, 1;
L_0x7fba8b5ed500 .part L_0x10bc984d8, 8, 24;
L_0x7fba8b5ed2e0 .part L_0x10bc98490, 8, 24;
L_0x7fba8b5ed750 .functor MUXZ 24, L_0x7fba8b5ed2e0, L_0x7fba8b5ed500, L_0x7fba8b5ed110, C4<>;
L_0x7fba8b5ed5e0 .part L_0x7fba8b5e22e0, 0, 8;
L_0x7fba8b5ed970 .concat [ 8 24 0 0], L_0x7fba8b5ed5e0, L_0x7fba8b5ed750;
L_0x7fba8b5ed7f0 .part L_0x7fba8b5ea610, 0, 2;
L_0x7fba8b5edba0 .concat [ 2 30 0 0], L_0x7fba8b5ed7f0, L_0x10bc98d90;
L_0x7fba8b5eda10 .cmp/eq 32, L_0x7fba8b5edba0, L_0x10bc98dd8;
L_0x7fba8b5edde0 .part L_0x7fba8b5ea610, 2, 1;
L_0x7fba8b5edc40 .concat [ 1 31 0 0], L_0x7fba8b5edde0, L_0x10bc98e20;
L_0x7fba8b5edd20 .cmp/eq 32, L_0x7fba8b5edc40, L_0x10bc98e68;
L_0x7fba8b5ede80 .part L_0x7fba8b5e22e0, 15, 1;
L_0x7fba8b5ee270 .part L_0x10bc984d8, 16, 16;
L_0x7fba8b5ee0b0 .part L_0x10bc98490, 16, 16;
L_0x7fba8b5ee150 .functor MUXZ 16, L_0x7fba8b5ee0b0, L_0x7fba8b5ee270, L_0x7fba8b5edf20, C4<>;
L_0x7fba8b5ee570 .part L_0x7fba8b5e22e0, 0, 16;
L_0x7fba8b5ee610 .concat [ 16 16 0 0], L_0x7fba8b5ee570, L_0x7fba8b5ee150;
L_0x7fba8b5ee310 .functor MUXZ 32, L_0x7fba8b5e22e0, L_0x7fba8b5ee610, L_0x7fba8b5eda10, C4<>;
L_0x7fba8b5ee430 .functor MUXZ 32, L_0x7fba8b5ee310, L_0x7fba8b5ed970, L_0x7fba8b5ecb60, C4<>;
L_0x7fba8b5eeaf0 .functor MUXZ 32, L_0x7fba8b5ec2f0, v0x7fba8b5caa90_0, v0x7fba8b5ca810_0, C4<>;
L_0x7fba8b5eec10 .functor MUXZ 32, L_0x7fba8b5ec8a0, v0x7fba8b5c9550_0, v0x7fba8b5ca810_0, C4<>;
L_0x7fba8b5ee770 .concat [ 3 29 0 0], L_0x7fba8b5ea610, L_0x10bc98ef8;
L_0x7fba8b5ee850 .cmp/eq 32, L_0x7fba8b5ee770, L_0x10bc98f40;
L_0x7fba8b5eeda0 .concat [ 3 29 0 0], L_0x7fba8b5ea610, L_0x10bc98f88;
L_0x7fba8b5ef120 .cmp/eq 32, L_0x7fba8b5eeda0, L_0x10bc98fd0;
L_0x7fba8b5eefd0 .concat [ 3 29 0 0], L_0x7fba8b5ea610, L_0x10bc99018;
L_0x7fba8b5ef070 .cmp/eq 32, L_0x7fba8b5eefd0, L_0x10bc99060;
L_0x7fba8b5ef370 .concat [ 3 29 0 0], L_0x7fba8b5ea610, L_0x10bc990a8;
L_0x7fba8b5ef7b0 .cmp/eq 32, L_0x7fba8b5ef370, L_0x10bc990f0;
L_0x7fba8b5ef570 .cmp/gt 32, L_0x7fba8b5eec10, L_0x7fba8b5ec5f0;
L_0x7fba8b5ef650 .functor MUXZ 32, L_0x10bc99180, L_0x10bc99138, L_0x7fba8b5ef570, C4<>;
L_0x7fba8b5efb90 .concat [ 3 29 0 0], L_0x7fba8b5ea610, L_0x10bc991c8;
L_0x7fba8b5efc70 .cmp/eq 32, L_0x7fba8b5efb90, L_0x10bc99210;
L_0x7fba8b5ef8f0 .cmp/gt.s 32, L_0x7fba8b5eeaf0, L_0x7fba8b5ec040;
L_0x7fba8b5ef9d0 .functor MUXZ 32, L_0x10bc992a0, L_0x10bc99258, L_0x7fba8b5ef8f0, C4<>;
L_0x7fba8b5f0010 .concat [ 3 29 0 0], L_0x7fba8b5ea610, L_0x10bc992e8;
L_0x7fba8b5f00f0 .cmp/eq 32, L_0x7fba8b5f0010, L_0x10bc99330;
L_0x7fba8b5efd90 .part L_0x7fba8b5ea6b0, 5, 1;
L_0x7fba8b5f04c0 .arith/sub 32, L_0x7fba8b5ec5f0, L_0x7fba8b5eec10;
L_0x7fba8b5f0230 .arith/sum 32, L_0x7fba8b5ec5f0, L_0x7fba8b5eeaf0;
L_0x7fba8b5f02d0 .functor MUXZ 32, L_0x7fba8b5f0230, L_0x7fba8b5f04c0, L_0x7fba8b5efe70, C4<>;
L_0x7fba8b5f03f0 .concat [ 3 29 0 0], L_0x7fba8b5ea610, L_0x10bc99378;
L_0x7fba8b5f0850 .cmp/eq 32, L_0x7fba8b5f03f0, L_0x10bc993c0;
L_0x7fba8b5f0560 .part L_0x7fba8b5eec10, 0, 5;
L_0x7fba8b5f0680 .shift/l 32, L_0x7fba8b5ec5f0, L_0x7fba8b5f0560;
L_0x7fba8b5f0720 .part L_0x7fba8b5ea6b0, 5, 1;
L_0x7fba8b5f0970 .part L_0x7fba8b5eec10, 0, 5;
L_0x7fba8b5f0a10 .shift/rs 32, L_0x7fba8b5ec040, L_0x7fba8b5f0970;
L_0x7fba8b5f0ab0 .part L_0x7fba8b5eec10, 0, 5;
L_0x7fba8b5f0b50 .shift/r 32, L_0x7fba8b5ec5f0, L_0x7fba8b5f0ab0;
L_0x7fba8b5f1020 .functor MUXZ 32, L_0x7fba8b5f0b50, L_0x7fba8b5f0a10, L_0x7fba8b5f0720, C4<>;
L_0x7fba8b5f0db0 .functor MUXZ 32, L_0x7fba8b5f1020, L_0x7fba8b5f0680, L_0x7fba8b5f0850, C4<>;
L_0x7fba8b5f0ed0 .functor MUXZ 32, L_0x7fba8b5f0db0, L_0x7fba8b5f02d0, L_0x7fba8b5f00f0, C4<>;
L_0x7fba8b5f1410 .functor MUXZ 32, L_0x7fba8b5f0ed0, L_0x7fba8b5ef9d0, L_0x7fba8b5efc70, C4<>;
L_0x7fba8b5f1570 .functor MUXZ 32, L_0x7fba8b5f1410, L_0x7fba8b5ef650, L_0x7fba8b5ef7b0, C4<>;
L_0x7fba8b5f1180 .functor MUXZ 32, L_0x7fba8b5f1570, L_0x7fba8b5ef200, L_0x7fba8b5ef070, C4<>;
L_0x7fba8b5f12a0 .functor MUXZ 32, L_0x7fba8b5f1180, L_0x7fba8b5eef00, L_0x7fba8b5ef120, C4<>;
L_0x7fba8b5f1980 .functor MUXZ 32, L_0x7fba8b5f12a0, L_0x7fba8b5eecb0, L_0x7fba8b5ee850, C4<>;
L_0x7fba8b5f1ae0 .concat [ 1 31 0 0], L_0x7fba8b5eb360, L_0x10bc99408;
L_0x7fba8b5f1650 .cmp/eq 32, L_0x7fba8b5f1ae0, L_0x10bc99450;
L_0x7fba8b5f1770 .concat [ 3 29 0 0], L_0x7fba8b5ea610, L_0x10bc99498;
L_0x7fba8b5f1850 .cmp/eq 32, L_0x7fba8b5f1770, L_0x10bc994e0;
L_0x7fba8b5f1f50 .cmp/gt.s 32, L_0x7fba8b5eeaf0, L_0x7fba8b5ec040;
L_0x7fba8b5f1bc0 .concat [ 1 31 0 0], L_0x7fba8b5f1f50, L_0x10bc99528;
L_0x7fba8b5f1ca0 .concat [ 3 29 0 0], L_0x7fba8b5ea610, L_0x10bc99570;
L_0x7fba8b5f1d80 .cmp/eq 32, L_0x7fba8b5f1ca0, L_0x10bc995b8;
L_0x7fba8b5f23e0 .cmp/ge.s 32, L_0x7fba8b5ec040, L_0x7fba8b5ec2f0;
L_0x7fba8b5f2070 .concat [ 1 31 0 0], L_0x7fba8b5f23e0, L_0x10bc99600;
L_0x7fba8b5f2150 .concat [ 3 29 0 0], L_0x7fba8b5ea610, L_0x10bc99648;
L_0x7fba8b5f2230 .cmp/eq 32, L_0x7fba8b5f2150, L_0x10bc99690;
L_0x7fba8b5f2810 .cmp/gt 32, L_0x7fba8b5eec10, L_0x7fba8b5ec5f0;
L_0x7fba8b5f2480 .concat [ 1 31 0 0], L_0x7fba8b5f2810, L_0x10bc996d8;
L_0x7fba8b5f2520 .concat [ 3 29 0 0], L_0x7fba8b5ea610, L_0x10bc99720;
L_0x7fba8b5ef430 .cmp/eq 32, L_0x7fba8b5f2520, L_0x10bc99768;
L_0x7fba8b5f2ca0 .cmp/ge 32, L_0x7fba8b5ec5f0, L_0x7fba8b5ec8a0;
L_0x7fba8b5f28b0 .concat [ 1 31 0 0], L_0x7fba8b5f2ca0, L_0x10bc997b0;
L_0x7fba8b5f29b0 .concat [ 3 29 0 0], L_0x7fba8b5ea610, L_0x10bc997f8;
L_0x7fba8b5f2ad0 .cmp/eq 32, L_0x7fba8b5f29b0, L_0x10bc99840;
L_0x7fba8b5f3300 .reduce/nor L_0x7fba8b5f3190;
L_0x7fba8b5f2dc0 .concat [ 1 31 0 0], L_0x7fba8b5f3300, L_0x10bc99888;
L_0x7fba8b5f2fb0 .functor MUXZ 32, L_0x7fba8b5f2ea0, L_0x7fba8b5f2dc0, L_0x7fba8b5f2ad0, C4<>;
L_0x7fba8b5f30f0 .functor MUXZ 32, L_0x7fba8b5f2fb0, L_0x7fba8b5f28b0, L_0x7fba8b5ef430, C4<>;
L_0x7fba8b5f3850 .functor MUXZ 32, L_0x7fba8b5f30f0, L_0x7fba8b5f2480, L_0x7fba8b5f2230, C4<>;
L_0x7fba8b5f3460 .functor MUXZ 32, L_0x7fba8b5f3850, L_0x7fba8b5f2070, L_0x7fba8b5f1d80, C4<>;
L_0x7fba8b5f35c0 .functor MUXZ 32, L_0x7fba8b5f3460, L_0x7fba8b5f1bc0, L_0x7fba8b5f1850, C4<>;
L_0x7fba8b5f38f0 .cmp/ne 32, L_0x7fba8b5f35c0, L_0x10bc998d0;
L_0x7fba8b5f3cb0 .arith/sum 32, v0x7fba8b5c94a0_0, v0x7fba8b5caa90_0;
L_0x7fba8b5f3db0 .functor MUXZ 32, L_0x7fba8b5f3cb0, L_0x7fba8b5f3fa0, L_0x7fba8b5eb110, C4<>;
L_0x7fba8b5f3fa0 .arith/sum 32, L_0x7fba8b5ec5f0, v0x7fba8b5caa90_0;
L_0x7fba8b5f40a0 .reduce/nor L_0x7fba8b5eb8f0;
L_0x7fba8b5f4230 .part L_0x7fba8b5ea610, 0, 2;
L_0x7fba8b5f42d0 .concat [ 2 30 0 0], L_0x7fba8b5f4230, L_0x10bc99918;
L_0x7fba8b5f43b0 .cmp/eq 32, L_0x7fba8b5f42d0, L_0x10bc99960;
L_0x7fba8b5f46e0 .part L_0x7fba8b5ea610, 0, 2;
L_0x7fba8b5f4780 .concat [ 2 30 0 0], L_0x7fba8b5f46e0, L_0x10bc999a8;
L_0x7fba8b5f4860 .cmp/eq 32, L_0x7fba8b5f4780, L_0x10bc999f0;
L_0x7fba8b5f4b30 .concat8 [ 1 1 1 0], L_0x7fba8b5f44d0, L_0x7fba8b5f4980, L_0x7fba8b5f4f30;
L_0x7fba8b5f4c90 .part L_0x7fba8b5ea610, 0, 2;
L_0x7fba8b5f4d30 .concat [ 2 30 0 0], L_0x7fba8b5f4c90, L_0x10bc99a38;
L_0x7fba8b5f4e10 .cmp/eq 32, L_0x7fba8b5f4d30, L_0x10bc99a80;
L_0x7fba8b5f4a70 .reduce/or v0x7fba8b5c8960_0;
L_0x7fba8b5f51e0 .concat [ 1 1 1 1], L_0x7fba8b5eb5a0, L_0x7fba8b5eb8f0, L_0x7fba8b5f4a70, v0x7fba8b5ca950_0;
S_0x7fba8b5d3e80 .scope module, "uart0" "darkuart" 3 686, 5 74 0, S_0x7fba8b55aee0;
 .timescale -9 -12;
    .port_info 0 /INPUT 1 "CLK"
    .port_info 1 /INPUT 1 "RES"
    .port_info 2 /INPUT 1 "RD"
    .port_info 3 /INPUT 1 "WR"
    .port_info 4 /INPUT 4 "BE"
    .port_info 5 /INPUT 32 "DATAI"
    .port_info 6 /OUTPUT 32 "DATAO"
    .port_info 7 /OUTPUT 1 "IRQ"
    .port_info 8 /INPUT 1 "RXD"
    .port_info 9 /OUTPUT 1 "TXD"
    .port_info 10 /OUTPUT 1 "FINISH_REQ"
    .port_info 11 /OUTPUT 4 "DEBUG"
L_0x7fba8b5e7900 .functor XOR 1, v0x7fba8b5d4d60_0, v0x7fba8b5d4a70_0, C4<0>, C4<0>;
L_0x7fba8b5e7970 .functor XOR 1, v0x7fba8b5d5370_0, v0x7fba8b5d5170_0, C4<0>, C4<0>;
L_0x7fba8b5e7be0 .functor XOR 8, L_0x7fba8b5e7a40, v0x7fba8b5d5010_0, C4<00000000>, C4<00000000>;
v0x7fba8b5d4100_0 .net "BE", 3 0, L_0x7fba8b5e6790;  alias, 1 drivers
v0x7fba8b5d4190_0 .net "CLK", 0 0, L_0x7fba8b5dfc80;  alias, 1 drivers
v0x7fba8b5d4250_0 .net "DATAI", 31 0, L_0x7fba8b5e44d0;  alias, 1 drivers
v0x7fba8b5d4300_0 .net "DATAO", 31 0, L_0x7fba8b5e7d90;  alias, 1 drivers
v0x7fba8b5d43a0_0 .var "DATAOFF", 31 0;
v0x7fba8b5d4490_0 .net "DEBUG", 3 0, L_0x7fba8b5e8bc0;  alias, 1 drivers
v0x7fba8b5d4540_0 .var "FINISH_REQ", 0 0;
v0x7fba8b5d45d0_0 .net "IRQ", 0 0, L_0x7fba8b5e7c90;  1 drivers
v0x7fba8b5d4660_0 .net "RD", 0 0, L_0x7fba8b5e9000;  1 drivers
v0x7fba8b5d4780_0 .net "RES", 0 0, L_0x7fba8b5dfd30;  alias, 1 drivers
v0x7fba8b5d4830_0 .net "RXD", 0 0, L_0x10bc97008;  alias, 1 drivers
v0x7fba8b5d48c0_0 .net "TXD", 0 0, L_0x7fba8b5e8680;  alias, 1 drivers
v0x7fba8b5d4950_0 .var "UART_IACK", 0 0;
v0x7fba8b5d49e0_0 .var "UART_IREQ", 0 0;
v0x7fba8b5d4a70_0 .var "UART_RACK", 0 0;
v0x7fba8b5d4b00_0 .var "UART_RBAUD", 15 0;
v0x7fba8b5d4bb0_0 .var "UART_RFIFO", 7 0;
v0x7fba8b5d4d60_0 .var "UART_RREQ", 0 0;
v0x7fba8b5d4e00_0 .var "UART_RSTATE", 3 0;
v0x7fba8b5d4eb0_0 .var "UART_RXDFF", 2 0;
v0x7fba8b5d4f60_0 .net "UART_STATE", 7 0, L_0x7fba8b5e7a40;  1 drivers
v0x7fba8b5d5010_0 .var "UART_STATEFF", 7 0;
v0x7fba8b5d50c0_0 .var "UART_TIMER", 15 0;
v0x7fba8b5d5170_0 .var "UART_XACK", 0 0;
v0x7fba8b5d5210_0 .var "UART_XBAUD", 15 0;
v0x7fba8b5d52c0_0 .var "UART_XFIFO", 7 0;
v0x7fba8b5d5370_0 .var "UART_XREQ", 0 0;
v0x7fba8b5d5410_0 .var "UART_XSTATE", 3 0;
v0x7fba8b5d54c0_0 .net "WR", 0 0, L_0x7fba8b5e9d50;  1 drivers
L_0x10bc980a0 .functor BUFT 1, C4<000000>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5d5560_0 .net/2u *"_s0", 5 0, L_0x10bc980a0;  1 drivers
v0x7fba8b5d5610_0 .net *"_s15", 0 0, L_0x7fba8b5e7eb0;  1 drivers
v0x7fba8b5d56c0_0 .net *"_s17", 2 0, L_0x7fba8b5e7f90;  1 drivers
v0x7fba8b5d5770_0 .net *"_s19", 0 0, L_0x7fba8b5e80c0;  1 drivers
v0x7fba8b5d4c60_0 .net *"_s2", 0 0, L_0x7fba8b5e7900;  1 drivers
v0x7fba8b5d5a00_0 .net *"_s20", 1 0, L_0x7fba8b5e81a0;  1 drivers
L_0x10bc980e8 .functor BUFT 1, C4<0>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5d5a90_0 .net *"_s23", 0 0, L_0x10bc980e8;  1 drivers
v0x7fba8b5d5b30_0 .net *"_s24", 31 0, L_0x7fba8b5e8320;  1 drivers
L_0x10bc98130 .functor BUFT 1, C4<0000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5d5be0_0 .net *"_s27", 27 0, L_0x10bc98130;  1 drivers
L_0x10bc98178 .functor BUFT 1, C4<00000000000000000000000000000111>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5d5c90_0 .net/2u *"_s28", 31 0, L_0x10bc98178;  1 drivers
v0x7fba8b5d5d40_0 .net *"_s30", 0 0, L_0x7fba8b5e8420;  1 drivers
L_0x10bc981c0 .functor BUFT 1, C4<00>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5d5de0_0 .net/2u *"_s32", 1 0, L_0x10bc981c0;  1 drivers
L_0x10bc98208 .functor BUFT 1, C4<01>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5d5e90_0 .net/2u *"_s34", 1 0, L_0x10bc98208;  1 drivers
v0x7fba8b5d5f40_0 .net *"_s36", 1 0, L_0x7fba8b5e48c0;  1 drivers
v0x7fba8b5d5ff0_0 .net *"_s38", 1 0, L_0x7fba8b5e4a20;  1 drivers
v0x7fba8b5d60a0_0 .net *"_s4", 0 0, L_0x7fba8b5e7970;  1 drivers
v0x7fba8b5d6150_0 .net *"_s42", 31 0, L_0x7fba8b5e8720;  1 drivers
L_0x10bc98250 .functor BUFT 1, C4<0000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5d6200_0 .net *"_s45", 27 0, L_0x10bc98250;  1 drivers
L_0x10bc98298 .functor BUFT 1, C4<00000000000000000000000000000110>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5d62b0_0 .net/2u *"_s46", 31 0, L_0x10bc98298;  1 drivers
v0x7fba8b5d6360_0 .net *"_s48", 0 0, L_0x7fba8b5e8880;  1 drivers
v0x7fba8b5d6400_0 .net *"_s50", 31 0, L_0x7fba8b5e8960;  1 drivers
L_0x10bc982e0 .functor BUFT 1, C4<0000000000000000000000000000>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5d64b0_0 .net *"_s53", 27 0, L_0x10bc982e0;  1 drivers
L_0x10bc98328 .functor BUFT 1, C4<00000000000000000000000000000110>, C4<0>, C4<0>, C4<0>;
v0x7fba8b5d6560_0 .net/2u *"_s54", 31 0, L_0x10bc98328;  1 drivers
v0x7fba8b5d6610_0 .net *"_s56", 0 0, L_0x7fba8b5e8ae0;  1 drivers
v0x7fba8b5d66b0_0 .net *"_s8", 7 0, L_0x7fba8b5e7be0;  1 drivers
L_0x7fba8b5e7a40 .concat [ 1 1 6 0], L_0x7fba8b5e7970, L_0x7fba8b5e7900, L_0x10bc980a0;
L_0x7fba8b5e7c90 .reduce/or L_0x7fba8b5e7be0;
L_0x7fba8b5e7d90 .concat [ 8 8 16 0], L_0x7fba8b5e7a40, v0x7fba8b5d4bb0_0, v0x7fba8b5d50c0_0;
L_0x7fba8b5e7eb0 .part v0x7fba8b5d5410_0, 3, 1;
L_0x7fba8b5e7f90 .part v0x7fba8b5d5410_0, 0, 3;
L_0x7fba8b5e80c0 .part/v v0x7fba8b5d52c0_0, L_0x7fba8b5e7f90, 1;
L_0x7fba8b5e81a0 .concat [ 1 1 0 0], L_0x7fba8b5e80c0, L_0x10bc980e8;
L_0x7fba8b5e8320 .concat [ 4 28 0 0], v0x7fba8b5d5410_0, L_0x10bc98130;
L_0x7fba8b5e8420 .cmp/eq 32, L_0x7fba8b5e8320, L_0x10bc98178;
L_0x7fba8b5e48c0 .functor MUXZ 2, L_0x10bc98208, L_0x10bc981c0, L_0x7fba8b5e8420, C4<>;
L_0x7fba8b5e4a20 .functor MUXZ 2, L_0x7fba8b5e48c0, L_0x7fba8b5e81a0, L_0x7fba8b5e7eb0, C4<>;
L_0x7fba8b5e8680 .part L_0x7fba8b5e4a20, 0, 1;
L_0x7fba8b5e8720 .concat [ 4 28 0 0], v0x7fba8b5d5410_0, L_0x10bc98250;
L_0x7fba8b5e8880 .cmp/ne 32, L_0x7fba8b5e8720, L_0x10bc98298;
L_0x7fba8b5e8960 .concat [ 4 28 0 0], v0x7fba8b5d4e00_0, L_0x10bc982e0;
L_0x7fba8b5e8ae0 .cmp/ne 32, L_0x7fba8b5e8960, L_0x10bc98328;
L_0x7fba8b5e8bc0 .concat [ 1 1 1 1], L_0x7fba8b5e8ae0, L_0x7fba8b5e8880, L_0x7fba8b5e8680, L_0x10bc97008;
    .scope S_0x7fba8b5d3e80;
T_0 ;
    %pushi/vec4 0, 0, 1;
    %store/vec4 v0x7fba8b5d4540_0, 0, 1;
    %pushi/vec4 868, 0, 16;
    %store/vec4 v0x7fba8b5d50c0_0, 0, 16;
    %pushi/vec4 0, 0, 1;
    %store/vec4 v0x7fba8b5d49e0_0, 0, 1;
    %pushi/vec4 0, 0, 1;
    %store/vec4 v0x7fba8b5d4950_0, 0, 1;
    %pushi/vec4 0, 0, 8;
    %store/vec4 v0x7fba8b5d52c0_0, 0, 8;
    %pushi/vec4 0, 0, 1;
    %store/vec4 v0x7fba8b5d5370_0, 0, 1;
    %pushi/vec4 0, 0, 1;
    %store/vec4 v0x7fba8b5d5170_0, 0, 1;
    %pushi/vec4 0, 0, 16;
    %store/vec4 v0x7fba8b5d5210_0, 0, 16;
    %pushi/vec4 0, 0, 4;
    %store/vec4 v0x7fba8b5d5410_0, 0, 4;
    %pushi/vec4 0, 0, 8;
    %store/vec4 v0x7fba8b5d4bb0_0, 0, 8;
    %pushi/vec4 0, 0, 1;
    %store/vec4 v0x7fba8b5d4d60_0, 0, 1;
    %pushi/vec4 0, 0, 1;
    %store/vec4 v0x7fba8b5d4a70_0, 0, 1;
    %pushi/vec4 0, 0, 16;
    %store/vec4 v0x7fba8b5d4b00_0, 0, 16;
    %pushi/vec4 0, 0, 4;
    %store/vec4 v0x7fba8b5d4e00_0, 0, 4;
    %pushi/vec4 7, 0, 3;
    %store/vec4 v0x7fba8b5d4eb0_0, 0, 3;
    %pushi/vec4 0, 0, 8;
    %store/vec4 v0x7fba8b5d5010_0, 0, 8;
    %pushi/vec4 0, 0, 32;
    %store/vec4 v0x7fba8b5d43a0_0, 0, 32;
    %end;
    .thread T_0;
    .scope S_0x7fba8b5d3e80;
T_1 ;
    %wait E_0x7fba8b5b62c0;
    %load/vec4 v0x7fba8b5d54c0_0;
    %flag_set/vec4 8;
    %jmp/0xz  T_1.0, 8;
    %load/vec4 v0x7fba8b5d4100_0;
    %parti/s 1, 1, 2;
    %flag_set/vec4 8;
    %jmp/0xz  T_1.2, 8;
    %load/vec4 v0x7fba8b5d4250_0;
    %parti/s 8, 8, 5;
    %assign/vec4 v0x7fba8b5d52c0_0, 0;
    %load/vec4 v0x7fba8b5d4250_0;
    %parti/s 8, 8, 5;
    %pad/u 32;
    %cmpi/ne 13, 0, 32;
    %jmp/0xz  T_1.4, 4;
    %vpi_call 5 136 "$write", "%c", &PV<v0x7fba8b5d4250_0, 8, 8> {0 0 0};
T_1.4 ;
    %load/vec4 v0x7fba8b5d4250_0;
    %parti/s 8, 8, 5;
    %pushi/vec4 35, 0, 8; draw_string_vec4
    %cmp/e;
    %jmp/0xz  T_1.6, 4;
    %vpi_call 5 141 "$display", "[checkpoint #]" {0 0 0};
    %vpi_call 5 142 "$stop" {0 0 0};
T_1.6 ;
    %load/vec4 v0x7fba8b5d4250_0;
    %parti/s 8, 8, 5;
    %pushi/vec4 62, 0, 8; draw_string_vec4
    %cmp/e;
    %jmp/0xz  T_1.8, 4;
    %vpi_call 5 147 "$display", " no UART input, end simulation request..." {0 0 0};
    %pushi/vec4 1, 0, 1;
    %assign/vec4 v0x7fba8b5d4540_0, 0;
T_1.8 ;
T_1.2 ;
T_1.0 ;
    %load/vec4 v0x7fba8b5d4780_0;
    %flag_set/vec4 8;
    %jmp/0xz  T_1.10, 8;
    %load/vec4 v0x7fba8b5d4d60_0;
    %assign/vec4 v0x7fba8b5d4a70_0, 0;
    %load/vec4 v0x7fba8b5d4f60_0;
    %assign/vec4 v0x7fba8b5d5010_0, 0;
    %jmp T_1.11;
T_1.10 ;
    %load/vec4 v0x7fba8b5d4660_0;
    %flag_set/vec4 8;
    %jmp/0xz  T_1.12, 8;
    %load/vec4 v0x7fba8b5d4100_0;
    %parti/s 1, 1, 2;
    %flag_set/vec4 8;
    %jmp/0xz  T_1.14, 8;
    %load/vec4 v0x7fba8b5d4d60_0;
    %assign/vec4 v0x7fba8b5d4a70_0, 0;
T_1.14 ;
    %load/vec4 v0x7fba8b5d4100_0;
    %parti/s 1, 0, 2;
    %flag_set/vec4 8;
    %jmp/0xz  T_1.16, 8;
    %load/vec4 v0x7fba8b5d4f60_0;
    %assign/vec4 v0x7fba8b5d5010_0, 0;
T_1.16 ;
T_1.12 ;
T_1.11 ;
    %jmp T_1;
    .thread T_1;
    .scope S_0x7fba8b5d3e80;
T_2 ;
    %wait E_0x7fba8b5b62c0;
    %load/vec4 v0x7fba8b5d5410_0;
    %pad/u 32;
    %cmpi/e 6, 0, 32;
    %flag_mov 8, 4;
    %jmp/0 T_2.0, 8;
    %load/vec4 v0x7fba8b5d50c0_0;
    %jmp/1 T_2.1, 8;
T_2.0 ; End of true expr.
    %load/vec4 v0x7fba8b5d5210_0;
    %cmpi/ne 0, 0, 16;
    %flag_mov 9, 4;
    %jmp/0 T_2.2, 9;
    %load/vec4 v0x7fba8b5d5210_0;
    %subi 1, 0, 16;
    %jmp/1 T_2.3, 9;
T_2.2 ; End of true expr.
    %load/vec4 v0x7fba8b5d50c0_0;
    %jmp/0 T_2.3, 9;
 ; End of false expr.
    %blend;
T_2.3;
    %jmp/0 T_2.1, 8;
 ; End of false expr.
    %blend;
T_2.1;
    %assign/vec4 v0x7fba8b5d5210_0, 0;
    %load/vec4 v0x7fba8b5d4780_0;
    %flag_set/vec4 8;
    %load/vec4 v0x7fba8b5d5410_0;
    %pad/u 32;
    %cmpi/e 1, 0, 32;
    %flag_or 4, 8;
    %flag_mov 8, 4;
    %jmp/0 T_2.4, 8;
    %pushi/vec4 6, 0, 4;
    %jmp/1 T_2.5, 8;
T_2.4 ; End of true expr.
    %load/vec4 v0x7fba8b5d5410_0;
    %pad/u 32;
    %cmpi/e 6, 0, 32;
    %flag_mov 9, 4;
    %jmp/0 T_2.6, 9;
    %load/vec4 v0x7fba8b5d5410_0;
    %load/vec4 v0x7fba8b5d5370_0;
    %pad/u 4;
    %load/vec4 v0x7fba8b5d5170_0;
    %pad/u 4;
    %xor;
    %add;
    %jmp/1 T_2.7, 9;
T_2.6 ; End of true expr.
    %load/vec4 v0x7fba8b5d5410_0;
    %load/vec4 v0x7fba8b5d5210_0;
    %pad/u 32;
    %pushi/vec4 0, 0, 32;
    %cmp/e;
    %flag_get/vec4 4;
    %pad/u 4;
    %add;
    %jmp/0 T_2.7, 9;
 ; End of false expr.
    %blend;
T_2.7;
    %jmp/0 T_2.5, 8;
 ; End of false expr.
    %blend;
T_2.5;
    %assign/vec4 v0x7fba8b5d5410_0, 0;
    %load/vec4 v0x7fba8b5d4780_0;
    %flag_set/vec4 8;
    %load/vec4 v0x7fba8b5d5410_0;
    %pad/u 32;
    %cmpi/e 1, 0, 32;
    %flag_or 4, 8;
    %flag_mov 8, 4;
    %jmp/0 T_2.8, 8;
    %load/vec4 v0x7fba8b5d5370_0;
    %jmp/1 T_2.9, 8;
T_2.8 ; End of true expr.
    %load/vec4 v0x7fba8b5d5170_0;
    %jmp/0 T_2.9, 8;
 ; End of false expr.
    %blend;
T_2.9;
    %assign/vec4 v0x7fba8b5d5170_0, 0;
    %jmp T_2;
    .thread T_2;
    .scope S_0x7fba8b5d3e80;
T_3 ;
    %wait E_0x7fba8b5b62c0;
    %load/vec4 v0x7fba8b5d4eb0_0;
    %ix/load 4, 1, 0;
    %flag_set/imm 4, 0;
    %shiftl 4;
    %load/vec4 v0x7fba8b5d4830_0;
    %pad/u 3;
    %or;
    %assign/vec4 v0x7fba8b5d4eb0_0, 0;
    %load/vec4 v0x7fba8b5d4e00_0;
    %pad/u 32;
    %cmpi/e 6, 0, 32;
    %flag_mov 8, 4;
    %jmp/0 T_3.0, 8;
    %pushi/vec4 0, 0, 1;
    %load/vec4 v0x7fba8b5d50c0_0;
    %parti/s 15, 1, 2;
    %concat/vec4; draw_concat_vec4
    %jmp/1 T_3.1, 8;
T_3.0 ; End of true expr.
    %load/vec4 v0x7fba8b5d4b00_0;
    %cmpi/ne 0, 0, 16;
    %flag_mov 9, 4;
    %jmp/0 T_3.2, 9;
    %load/vec4 v0x7fba8b5d4b00_0;
    %subi 1, 0, 16;
    %jmp/1 T_3.3, 9;
T_3.2 ; End of true expr.
    %load/vec4 v0x7fba8b5d50c0_0;
    %jmp/0 T_3.3, 9;
 ; End of false expr.
    %blend;
T_3.3;
    %jmp/0 T_3.1, 8;
 ; End of false expr.
    %blend;
T_3.1;
    %assign/vec4 v0x7fba8b5d4b00_0, 0;
    %load/vec4 v0x7fba8b5d4780_0;
    %flag_set/vec4 8;
    %load/vec4 v0x7fba8b5d4e00_0;
    %pad/u 32;
    %cmpi/e 1, 0, 32;
    %flag_or 4, 8;
    %flag_mov 8, 4;
    %jmp/0 T_3.4, 8;
    %pushi/vec4 6, 0, 4;
    %jmp/1 T_3.5, 8;
T_3.4 ; End of true expr.
    %load/vec4 v0x7fba8b5d4e00_0;
    %pad/u 32;
    %cmpi/e 6, 0, 32;
    %flag_mov 9, 4;
    %jmp/0 T_3.6, 9;
    %load/vec4 v0x7fba8b5d4e00_0;
    %load/vec4 v0x7fba8b5d4eb0_0;
    %parti/s 2, 1, 2;
    %pushi/vec4 2, 0, 2;
    %cmp/e;
    %flag_get/vec4 4;
    %pad/u 4;
    %add;
    %jmp/1 T_3.7, 9;
T_3.6 ; End of true expr.
    %load/vec4 v0x7fba8b5d4e00_0;
    %load/vec4 v0x7fba8b5d4b00_0;
    %pad/u 32;
    %pushi/vec4 0, 0, 32;
    %cmp/e;
    %flag_get/vec4 4;
    %pad/u 4;
    %add;
    %jmp/0 T_3.7, 9;
 ; End of false expr.
    %blend;
T_3.7;
    %jmp/0 T_3.5, 8;
 ; End of false expr.
    %blend;
T_3.5;
    %assign/vec4 v0x7fba8b5d4e00_0, 0;
    %load/vec4 v0x7fba8b5d4e00_0;
    %pad/u 32;
    %cmpi/e 1, 0, 32;
    %flag_mov 8, 4;
    %jmp/0 T_3.8, 8;
    %load/vec4 v0x7fba8b5d4a70_0;
    %nor/r;
    %jmp/1 T_3.9, 8;
T_3.8 ; End of true expr.
    %load/vec4 v0x7fba8b5d4d60_0;
    %jmp/0 T_3.9, 8;
 ; End of false expr.
    %blend;
T_3.9;
    %assign/vec4 v0x7fba8b5d4d60_0, 0;
    %load/vec4 v0x7fba8b5d4e00_0;
    %parti/s 1, 3, 3;
    %flag_set/vec4 8;
    %jmp/0xz  T_3.10, 8;
    %load/vec4 v0x7fba8b5d4eb0_0;
    %parti/s 1, 2, 3;
    %ix/load 5, 0, 0;
    %load/vec4 v0x7fba8b5d4e00_0;
    %parti/s 3, 0, 2;
    %ix/vec4 4;
    %assign/vec4/off/d v0x7fba8b5d4bb0_0, 4, 5;
T_3.10 ;
    %jmp T_3;
    .thread T_3;
    .scope S_0x7fba8b559da0;
T_4 ;
    %pushi/vec4 1, 0, 1;
    %store/vec4 v0x7fba8b5ca950_0, 0, 1;
    %pushi/vec4 3, 0, 2;
    %store/vec4 v0x7fba8b5c8960_0, 0, 2;
    %pushi/vec4 15, 0, 4;
    %store/vec4 v0x7fba8b5c98e0_0, 0, 4;
    %pushi/vec4 0, 0, 32;
    %store/vec4 v0x7fba8b5d3890_0, 0, 32;
    %pushi/vec4 0, 0, 32;
    %store/vec4 v0x7fba8b5d3c00_0, 0, 32;
    %pushi/vec4 0, 0, 32;
    %store/vec4 v0x7fba8b5d3cb0_0, 0, 32;
    %pushi/vec4 0, 0, 32;
    %store/vec4 v0x7fba8b5d3aa0_0, 0, 32;
    %pushi/vec4 0, 0, 32;
    %store/vec4 v0x7fba8b5d3b50_0, 0, 32;
    %pushi/vec4 0, 0, 32;
    %store/vec4 v0x7fba8b5d3940_0, 0, 32;
    %pushi/vec4 0, 0, 32;
    %store/vec4 v0x7fba8b5d39f0_0, 0, 32;
    %end;
    .thread T_4;
    .scope S_0x7fba8b559da0;
T_5 ;
    %wait E_0x7fba8b5b62c0;
    %load/vec4 v0x7fba8b5ca950_0;
    %flag_set/vec4 8;
    %jmp/0 T_5.0, 8;
    %pushi/vec4 0, 0, 32;
    %jmp/1 T_5.1, 8;
T_5.0 ; End of true expr.
    %load/vec4 v0x7fba8b5c8af0_0;
    %flag_set/vec4 9;
    %jmp/0 T_5.2, 9;
    %load/vec4 v0x7fba8b5ca440_0;
    %jmp/1 T_5.3, 9;
T_5.2 ; End of true expr.
    %load/vec4 v0x7fba8b5c8c20_0;
    %jmp/0 T_5.3, 9;
 ; End of false expr.
    %blend;
T_5.3;
    %jmp/0 T_5.1, 8;
 ; End of false expr.
    %blend;
T_5.1;
    %assign/vec4 v0x7fba8b5ca440_0, 0;
    %load/vec4 v0x7fba8b5ca950_0;
    %flag_set/vec4 8;
    %jmp/0 T_5.4, 8;
    %pushi/vec4 0, 0, 1;
    %jmp/1 T_5.5, 8;
T_5.4 ; End of true expr.
    %load/vec4 v0x7fba8b5c8af0_0;
    %flag_set/vec4 9;
    %jmp/0 T_5.6, 9;
    %load/vec4 v0x7fba8b5ca6d0_0;
    %jmp/1 T_5.7, 9;
T_5.6 ; End of true expr.
    %load/vec4 v0x7fba8b5c8c20_0;
    %parti/s 7, 0, 2;
    %pushi/vec4 55, 0, 7;
    %cmp/e;
    %flag_get/vec4 4;
    %jmp/0 T_5.7, 9;
 ; End of false expr.
    %blend;
T_5.7;
    %jmp/0 T_5.5, 8;
 ; End of false expr.
    %blend;
T_5.5;
    %assign/vec4 v0x7fba8b5ca6d0_0, 0;
    %load/vec4 v0x7fba8b5ca950_0;
    %flag_set/vec4 8;
    %jmp/0 T_5.8, 8;
    %pushi/vec4 0, 0, 1;
    %jmp/1 T_5.9, 8;
T_5.8 ; End of true expr.
    %load/vec4 v0x7fba8b5c8af0_0;
    %flag_set/vec4 9;
    %jmp/0 T_5.10, 9;
    %load/vec4 v0x7fba8b5ca300_0;
    %jmp/1 T_5.11, 9;
T_5.10 ; End of true expr.
    %load/vec4 v0x7fba8b5c8c20_0;
    %parti/s 7, 0, 2;
    %pushi/vec4 23, 0, 7;
    %cmp/e;
    %flag_get/vec4 4;
    %jmp/0 T_5.11, 9;
 ; End of false expr.
    %blend;
T_5.11;
    %jmp/0 T_5.9, 8;
 ; End of false expr.
    %blend;
T_5.9;
    %assign/vec4 v0x7fba8b5ca300_0, 0;
    %load/vec4 v0x7fba8b5ca950_0;
    %flag_set/vec4 8;
    %jmp/0 T_5.12, 8;
    %pushi/vec4 0, 0, 1;
    %jmp/1 T_5.13, 8;
T_5.12 ; End of true expr.
    %load/vec4 v0x7fba8b5c8af0_0;
    %flag_set/vec4 9;
    %jmp/0 T_5.14, 9;
    %load/vec4 v0x7fba8b5ca4f0_0;
    %jmp/1 T_5.15, 9;
T_5.14 ; End of true expr.
    %load/vec4 v0x7fba8b5c8c20_0;
    %parti/s 7, 0, 2;
    %pushi/vec4 111, 0, 7;
    %cmp/e;
    %flag_get/vec4 4;
    %jmp/0 T_5.15, 9;
 ; End of false expr.
    %blend;
T_5.15;
    %jmp/0 T_5.13, 8;
 ; End of false expr.
    %blend;
T_5.13;
    %assign/vec4 v0x7fba8b5ca4f0_0, 0;
    %load/vec4 v0x7fba8b5ca950_0;
    %flag_set/vec4 8;
    %jmp/0 T_5.16, 8;
    %pushi/vec4 0, 0, 1;
    %jmp/1 T_5.17, 8;
T_5.16 ; End of true expr.
    %load/vec4 v0x7fba8b5c8af0_0;
    %flag_set/vec4 9;
    %jmp/0 T_5.18, 9;
    %load/vec4 v0x7fba8b5ca590_0;
    %jmp/1 T_5.19, 9;
T_5.18 ; End of true expr.
    %load/vec4 v0x7fba8b5c8c20_0;
    %parti/s 7, 0, 2;
    %pushi/vec4 103, 0, 7;
    %cmp/e;
    %flag_get/vec4 4;
    %jmp/0 T_5.19, 9;
 ; End of false expr.
    %blend;
T_5.19;
    %jmp/0 T_5.17, 8;
 ; End of false expr.
    %blend;
T_5.17;
    %assign/vec4 v0x7fba8b5ca590_0, 0;
    %load/vec4 v0x7fba8b5ca950_0;
    %flag_set/vec4 8;
    %jmp/0 T_5.20, 8;
    %pushi/vec4 0, 0, 1;
    %jmp/1 T_5.21, 8;
T_5.20 ; End of true expr.
    %load/vec4 v0x7fba8b5c8af0_0;
    %flag_set/vec4 9;
    %jmp/0 T_5.22, 9;
    %load/vec4 v0x7fba8b5ca3a0_0;
    %jmp/1 T_5.23, 9;
T_5.22 ; End of true expr.
    %load/vec4 v0x7fba8b5c8c20_0;
    %parti/s 7, 0, 2;
    %pushi/vec4 99, 0, 7;
    %cmp/e;
    %flag_get/vec4 4;
    %jmp/0 T_5.23, 9;
 ; End of false expr.
    %blend;
T_5.23;
    %jmp/0 T_5.21, 8;
 ; End of false expr.
    %blend;
T_5.21;
    %assign/vec4 v0x7fba8b5ca3a0_0, 0;
    %load/vec4 v0x7fba8b5ca950_0;
    %flag_set/vec4 8;
    %jmp/0 T_5.24, 8;
    %pushi/vec4 0, 0, 1;
    %jmp/1 T_5.25, 8;
T_5.24 ; End of true expr.
    %load/vec4 v0x7fba8b5c8af0_0;
    %flag_set/vec4 9;
    %jmp/0 T_5.26, 9;
    %load/vec4 v0x7fba8b5ca630_0;
    %jmp/1 T_5.27, 9;
T_5.26 ; End of true expr.
    %load/vec4 v0x7fba8b5c8c20_0;
    %parti/s 7, 0, 2;
    %pushi/vec4 3, 0, 7;
    %cmp/e;
    %flag_get/vec4 4;
    %jmp/0 T_5.27, 9;
 ; End of false expr.
    %blend;
T_5.27;
    %jmp/0 T_5.25, 8;
 ; End of false expr.
    %blend;
T_5.25;
    %assign/vec4 v0x7fba8b5ca630_0, 0;
    %load/vec4 v0x7fba8b5ca950_0;
    %flag_set/vec4 8;
    %jmp/0 T_5.28, 8;
    %pushi/vec4 0, 0, 1;
    %jmp/1 T_5.29, 8;
T_5.28 ; End of true expr.
    %load/vec4 v0x7fba8b5c8af0_0;
    %flag_set/vec4 9;
    %jmp/0 T_5.30, 9;
    %load/vec4 v0x7fba8b5ca9f0_0;
    %jmp/1 T_5.31, 9;
T_5.30 ; End of true expr.
    %load/vec4 v0x7fba8b5c8c20_0;
    %parti/s 7, 0, 2;
    %pushi/vec4 35, 0, 7;
    %cmp/e;
    %flag_get/vec4 4;
    %jmp/0 T_5.31, 9;
 ; End of false expr.
    %blend;
T_5.31;
    %jmp/0 T_5.29, 8;
 ; End of false expr.
    %blend;
T_5.29;
    %assign/vec4 v0x7fba8b5ca9f0_0, 0;
    %load/vec4 v0x7fba8b5ca950_0;
    %flag_set/vec4 8;
    %jmp/0 T_5.32, 8;
    %pushi/vec4 0, 0, 1;
    %jmp/1 T_5.33, 8;
T_5.32 ; End of true expr.
    %load/vec4 v0x7fba8b5c8af0_0;
    %flag_set/vec4 9;
    %jmp/0 T_5.34, 9;
    %load/vec4 v0x7fba8b5ca810_0;
    %jmp/1 T_5.35, 9;
T_5.34 ; End of true expr.
    %load/vec4 v0x7fba8b5c8c20_0;
    %parti/s 7, 0, 2;
    %pushi/vec4 19, 0, 7;
    %cmp/e;
    %flag_get/vec4 4;
    %jmp/0 T_5.35, 9;
 ; End of false expr.
    %blend;
T_5.35;
    %jmp/0 T_5.33, 8;
 ; End of false expr.
    %blend;
T_5.33;
    %assign/vec4 v0x7fba8b5ca810_0, 0;
    %load/vec4 v0x7fba8b5ca950_0;
    %flag_set/vec4 8;
    %jmp/0 T_5.36, 8;
    %pushi/vec4 0, 0, 1;
    %jmp/1 T_5.37, 8;
T_5.36 ; End of true expr.
    %load/vec4 v0x7fba8b5c8af0_0;
    %flag_set/vec4 9;
    %jmp/0 T_5.38, 9;
    %load/vec4 v0x7fba8b5ca8b0_0;
    %jmp/1 T_5.39, 9;
T_5.38 ; End of true expr.
    %load/vec4 v0x7fba8b5c8c20_0;
    %parti/s 7, 0, 2;
    %pushi/vec4 51, 0, 7;
    %cmp/e;
    %flag_get/vec4 4;
    %jmp/0 T_5.39, 9;
 ; End of false expr.
    %blend;
T_5.39;
    %jmp/0 T_5.37, 8;
 ; End of false expr.
    %blend;
T_5.37;
    %assign/vec4 v0x7fba8b5ca8b0_0, 0;
    %load/vec4 v0x7fba8b5ca950_0;
    %flag_set/vec4 8;
    %jmp/0 T_5.40, 8;
    %pushi/vec4 0, 0, 1;
    %jmp/1 T_5.41, 8;
T_5.40 ; End of true expr.
    %load/vec4 v0x7fba8b5c8af0_0;
    %flag_set/vec4 9;
    %jmp/0 T_5.42, 9;
    %load/vec4 v0x7fba8b5ca8b0_0;
    %jmp/1 T_5.43, 9;
T_5.42 ; End of true expr.
    %load/vec4 v0x7fba8b5c8c20_0;
    %parti/s 7, 0, 2;
    %pushi/vec4 127, 0, 7;
    %cmp/e;
    %flag_get/vec4 4;
    %jmp/0 T_5.43, 9;
 ; End of false expr.
    %blend;
T_5.43;
    %jmp/0 T_5.41, 8;
 ; End of false expr.
    %blend;
T_5.41;
    %assign/vec4 v0x7fba8b5ca770_0, 0;
    %load/vec4 v0x7fba8b5ca950_0;
    %flag_set/vec4 8;
    %jmp/0 T_5.44, 8;
    %pushi/vec4 0, 0, 32;
    %jmp/1 T_5.45, 8;
T_5.44 ; End of true expr.
    %load/vec4 v0x7fba8b5c8af0_0;
    %flag_set/vec4 9;
    %jmp/0 T_5.46, 9;
    %load/vec4 v0x7fba8b5caa90_0;
    %jmp/1 T_5.47, 9;
T_5.46 ; End of true expr.
    %load/vec4 v0x7fba8b5c8c20_0;
    %parti/s 7, 0, 2;
    %cmpi/e 35, 0, 7;
    %flag_mov 10, 4;
    %jmp/0 T_5.48, 10;
    %load/vec4 v0x7fba8b5c8c20_0;
    %parti/s 1, 31, 6;
    %flag_set/vec4 11;
    %jmp/0 T_5.50, 11;
    %load/vec4 v0x7fba8b5c7ed0_0;
    %parti/s 20, 12, 5;
    %jmp/1 T_5.51, 11;
T_5.50 ; End of true expr.
    %load/vec4 v0x7fba8b5c0340_0;
    %parti/s 20, 12, 5;
    %jmp/0 T_5.51, 11;
 ; End of false expr.
    %blend;
T_5.51;
    %load/vec4 v0x7fba8b5c8c20_0;
    %parti/s 7, 25, 6;
    %concat/vec4; draw_concat_vec4
    %load/vec4 v0x7fba8b5c8c20_0;
    %parti/s 5, 7, 4;
    %concat/vec4; draw_concat_vec4
    %jmp/1 T_5.49, 10;
T_5.48 ; End of true expr.
    %load/vec4 v0x7fba8b5c8c20_0;
    %parti/s 7, 0, 2;
    %cmpi/e 99, 0, 7;
    %flag_mov 11, 4;
    %jmp/0 T_5.52, 11;
    %load/vec4 v0x7fba8b5c8c20_0;
    %parti/s 1, 31, 6;
    %flag_set/vec4 12;
    %jmp/0 T_5.54, 12;
    %load/vec4 v0x7fba8b5c7ed0_0;
    %parti/s 19, 13, 5;
    %jmp/1 T_5.55, 12;
T_5.54 ; End of true expr.
    %load/vec4 v0x7fba8b5c0340_0;
    %parti/s 19, 13, 5;
    %jmp/0 T_5.55, 12;
 ; End of false expr.
    %blend;
T_5.55;
    %load/vec4 v0x7fba8b5c8c20_0;
    %parti/s 1, 31, 6;
    %concat/vec4; draw_concat_vec4
    %load/vec4 v0x7fba8b5c8c20_0;
    %parti/s 1, 7, 4;
    %concat/vec4; draw_concat_vec4
    %load/vec4 v0x7fba8b5c8c20_0;
    %parti/s 6, 25, 6;
    %concat/vec4; draw_concat_vec4
    %load/vec4 v0x7fba8b5c8c20_0;
    %parti/s 4, 8, 5;
    %concat/vec4; draw_concat_vec4
    %load/vec4 v0x7fba8b5c0340_0;
    %parti/s 1, 0, 2;
    %concat/vec4; draw_concat_vec4
    %jmp/1 T_5.53, 11;
T_5.52 ; End of true expr.
    %load/vec4 v0x7fba8b5c8c20_0;
    %parti/s 7, 0, 2;
    %cmpi/e 111, 0, 7;
    %flag_mov 12, 4;
    %jmp/0 T_5.56, 12;
    %load/vec4 v0x7fba8b5c8c20_0;
    %parti/s 1, 31, 6;
    %flag_set/vec4 13;
    %jmp/0 T_5.58, 13;
    %load/vec4 v0x7fba8b5c7ed0_0;
    %parti/s 11, 21, 6;
    %jmp/1 T_5.59, 13;
T_5.58 ; End of true expr.
    %load/vec4 v0x7fba8b5c0340_0;
    %parti/s 11, 21, 6;
    %jmp/0 T_5.59, 13;
 ; End of false expr.
    %blend;
T_5.59;
    %load/vec4 v0x7fba8b5c8c20_0;
    %parti/s 1, 31, 6;
    %concat/vec4; draw_concat_vec4
    %load/vec4 v0x7fba8b5c8c20_0;
    %parti/s 8, 12, 5;
    %concat/vec4; draw_concat_vec4
    %load/vec4 v0x7fba8b5c8c20_0;
    %parti/s 1, 20, 6;
    %concat/vec4; draw_concat_vec4
    %load/vec4 v0x7fba8b5c8c20_0;
    %parti/s 10, 21, 6;
    %concat/vec4; draw_concat_vec4
    %load/vec4 v0x7fba8b5c0340_0;
    %parti/s 1, 0, 2;
    %concat/vec4; draw_concat_vec4
    %jmp/1 T_5.57, 12;
T_5.56 ; End of true expr.
    %load/vec4 v0x7fba8b5c8c20_0;
    %parti/s 7, 0, 2;
    %cmpi/e 55, 0, 7;
    %flag_mov 13, 4;
    %load/vec4 v0x7fba8b5c8c20_0;
    %parti/s 7, 0, 2;
    %cmpi/e 23, 0, 7;
    %flag_or 4, 13;
    %flag_mov 13, 4;
    %jmp/0 T_5.60, 13;
    %load/vec4 v0x7fba8b5c8c20_0;
    %parti/s 20, 12, 5;
    %load/vec4 v0x7fba8b5c0340_0;
    %parti/s 12, 0, 2;
    %concat/vec4; draw_concat_vec4
    %jmp/1 T_5.61, 13;
T_5.60 ; End of true expr.
    %load/vec4 v0x7fba8b5c8c20_0;
    %parti/s 1, 31, 6;
    %flag_set/vec4 14;
    %jmp/0 T_5.62, 14;
    %load/vec4 v0x7fba8b5c7ed0_0;
    %parti/s 20, 12, 5;
    %jmp/1 T_5.63, 14;
T_5.62 ; End of true expr.
    %load/vec4 v0x7fba8b5c0340_0;
    %parti/s 20, 12, 5;
    %jmp/0 T_5.63, 14;
 ; End of false expr.
    %blend;
T_5.63;
    %load/vec4 v0x7fba8b5c8c20_0;
    %parti/s 12, 20, 6;
    %concat/vec4; draw_concat_vec4
    %jmp/0 T_5.61, 13;
 ; End of false expr.
    %blend;
T_5.61;
    %jmp/0 T_5.57, 12;
 ; End of false expr.
    %blend;
T_5.57;
    %jmp/0 T_5.53, 11;
 ; End of false expr.
    %blend;
T_5.53;
    %jmp/0 T_5.49, 10;
 ; End of false expr.
    %blend;
T_5.49;
    %jmp/0 T_5.47, 9;
 ; End of false expr.
    %blend;
T_5.47;
    %jmp/0 T_5.45, 8;
 ; End of false expr.
    %blend;
T_5.45;
    %assign/vec4 v0x7fba8b5caa90_0, 0;
    %load/vec4 v0x7fba8b5ca950_0;
    %flag_set/vec4 8;
    %jmp/0 T_5.64, 8;
    %pushi/vec4 0, 0, 32;
    %jmp/1 T_5.65, 8;
T_5.64 ; End of true expr.
    %load/vec4 v0x7fba8b5c8af0_0;
    %flag_set/vec4 9;
    %jmp/0 T_5.66, 9;
    %load/vec4 v0x7fba8b5c9550_0;
    %jmp/1 T_5.67, 9;
T_5.66 ; End of true expr.
    %load/vec4 v0x7fba8b5c8c20_0;
    %parti/s 7, 0, 2;
    %cmpi/e 35, 0, 7;
    %flag_mov 10, 4;
    %jmp/0 T_5.68, 10;
    %load/vec4 v0x7fba8b5c0340_0;
    %parti/s 20, 12, 5;
    %load/vec4 v0x7fba8b5c8c20_0;
    %parti/s 7, 25, 6;
    %concat/vec4; draw_concat_vec4
    %load/vec4 v0x7fba8b5c8c20_0;
    %parti/s 5, 7, 4;
    %concat/vec4; draw_concat_vec4
    %jmp/1 T_5.69, 10;
T_5.68 ; End of true expr.
    %load/vec4 v0x7fba8b5c8c20_0;
    %parti/s 7, 0, 2;
    %cmpi/e 99, 0, 7;
    %flag_mov 11, 4;
    %jmp/0 T_5.70, 11;
    %load/vec4 v0x7fba8b5c0340_0;
    %parti/s 19, 13, 5;
    %load/vec4 v0x7fba8b5c8c20_0;
    %parti/s 1, 31, 6;
    %concat/vec4; draw_concat_vec4
    %load/vec4 v0x7fba8b5c8c20_0;
    %parti/s 1, 7, 4;
    %concat/vec4; draw_concat_vec4
    %load/vec4 v0x7fba8b5c8c20_0;
    %parti/s 6, 25, 6;
    %concat/vec4; draw_concat_vec4
    %load/vec4 v0x7fba8b5c8c20_0;
    %parti/s 4, 8, 5;
    %concat/vec4; draw_concat_vec4
    %load/vec4 v0x7fba8b5c0340_0;
    %parti/s 1, 0, 2;
    %concat/vec4; draw_concat_vec4
    %jmp/1 T_5.71, 11;
T_5.70 ; End of true expr.
    %load/vec4 v0x7fba8b5c8c20_0;
    %parti/s 7, 0, 2;
    %cmpi/e 111, 0, 7;
    %flag_mov 12, 4;
    %jmp/0 T_5.72, 12;
    %load/vec4 v0x7fba8b5c0340_0;
    %parti/s 11, 21, 6;
    %load/vec4 v0x7fba8b5c8c20_0;
    %parti/s 1, 31, 6;
    %concat/vec4; draw_concat_vec4
    %load/vec4 v0x7fba8b5c8c20_0;
    %parti/s 8, 12, 5;
    %concat/vec4; draw_concat_vec4
    %load/vec4 v0x7fba8b5c8c20_0;
    %parti/s 1, 20, 6;
    %concat/vec4; draw_concat_vec4
    %load/vec4 v0x7fba8b5c8c20_0;
    %parti/s 10, 21, 6;
    %concat/vec4; draw_concat_vec4
    %load/vec4 v0x7fba8b5c0340_0;
    %parti/s 1, 0, 2;
    %concat/vec4; draw_concat_vec4
    %jmp/1 T_5.73, 12;
T_5.72 ; End of true expr.
    %load/vec4 v0x7fba8b5c8c20_0;
    %parti/s 7, 0, 2;
    %cmpi/e 55, 0, 7;
    %flag_mov 13, 4;
    %load/vec4 v0x7fba8b5c8c20_0;
    %parti/s 7, 0, 2;
    %cmpi/e 23, 0, 7;
    %flag_or 4, 13;
    %flag_mov 13, 4;
    %jmp/0 T_5.74, 13;
    %load/vec4 v0x7fba8b5c8c20_0;
    %parti/s 20, 12, 5;
    %load/vec4 v0x7fba8b5c0340_0;
    %parti/s 12, 0, 2;
    %concat/vec4; draw_concat_vec4
    %jmp/1 T_5.75, 13;
T_5.74 ; End of true expr.
    %load/vec4 v0x7fba8b5c0340_0;
    %parti/s 20, 12, 5;
    %load/vec4 v0x7fba8b5c8c20_0;
    %parti/s 12, 20, 6;
    %concat/vec4; draw_concat_vec4
    %jmp/0 T_5.75, 13;
 ; End of false expr.
    %blend;
T_5.75;
    %jmp/0 T_5.73, 12;
 ; End of false expr.
    %blend;
T_5.73;
    %jmp/0 T_5.71, 11;
 ; End of false expr.
    %blend;
T_5.71;
    %jmp/0 T_5.69, 10;
 ; End of false expr.
    %blend;
T_5.69;
    %jmp/0 T_5.67, 9;
 ; End of false expr.
    %blend;
T_5.67;
    %jmp/0 T_5.65, 8;
 ; End of false expr.
    %blend;
T_5.65;
    %assign/vec4 v0x7fba8b5c9550_0, 0;
    %jmp T_5;
    .thread T_5;
    .scope S_0x7fba8b559da0;
T_6 ;
    %wait E_0x7fba8b5b62c0;
    %load/vec4 v0x7fba8b5ca950_0;
    %nor/r;
    %flag_set/vec4 8;
    %jmp/0xz  T_6.0, 8;
    %load/vec4 v0x7fba8b5d3890_0;
    %addi 1, 0, 32;
    %store/vec4 v0x7fba8b5d3890_0, 0, 32;
    %load/vec4 v0x7fba8b5c8af0_0;
    %flag_set/vec4 8;
    %jmp/0xz  T_6.2, 8;
    %load/vec4 v0x7fba8b5c9e40_0;
    %flag_set/vec4 8;
    %jmp/0xz  T_6.4, 8;
    %load/vec4 v0x7fba8b5d3b50_0;
    %addi 1, 0, 32;
    %store/vec4 v0x7fba8b5d3b50_0, 0, 32;
    %jmp T_6.5;
T_6.4 ;
    %load/vec4 v0x7fba8b5c8f60_0;
    %flag_set/vec4 8;
    %jmp/0xz  T_6.6, 8;
    %load/vec4 v0x7fba8b5d3aa0_0;
    %addi 1, 0, 32;
    %store/vec4 v0x7fba8b5d3aa0_0, 0, 32;
    %jmp T_6.7;
T_6.6 ;
    %load/vec4 v0x7fba8b5d39f0_0;
    %addi 1, 0, 32;
    %store/vec4 v0x7fba8b5d39f0_0, 0, 32;
T_6.7 ;
T_6.5 ;
    %jmp T_6.3;
T_6.2 ;
    %load/vec4 v0x7fba8b5c8960_0;
    %cmpi/ne 0, 0, 2;
    %jmp/0xz  T_6.8, 4;
    %load/vec4 v0x7fba8b5d3940_0;
    %addi 1, 0, 32;
    %store/vec4 v0x7fba8b5d3940_0, 0, 32;
    %jmp T_6.9;
T_6.8 ;
    %load/vec4 v0x7fba8b5d3c00_0;
    %addi 1, 0, 32;
    %store/vec4 v0x7fba8b5d3c00_0, 0, 32;
T_6.9 ;
T_6.3 ;
    %load/vec4 v0x7fba8b5c88c0_0;
    %flag_set/vec4 8;
    %jmp/0xz  T_6.10, 8;
    %vpi_call 4 382 "$display", "****************************************************************************" {0 0 0};
    %vpi_call 4 383 "$display", "DarkRISCV Pipeline Report:" {0 0 0};
    %vpi_call 4 384 "$display", "core0  clocks: %0d", v0x7fba8b5d3890_0 {0 0 0};
    %pushi/real 1677721600, 4072; load=100.000
    %load/vec4 v0x7fba8b5d3c00_0;
    %load/vec4 v0x7fba8b5d3cb0_0;
    %add;
    %ix/vec4/s 4;
    %cvt/rs 4;
    %mul/wr;
    %load/vec4 v0x7fba8b5d3890_0;
    %ix/vec4/s 4;
    %cvt/rs 4;
    %div/wr;
    %pushi/real 1677721600, 4072; load=100.000
    %load/vec4 v0x7fba8b5d3c00_0;
    %ix/vec4/s 4;
    %cvt/rs 4;
    %mul/wr;
    %load/vec4 v0x7fba8b5d3890_0;
    %ix/vec4/s 4;
    %cvt/rs 4;
    %div/wr;
    %pushi/real 1677721600, 4072; load=100.000
    %load/vec4 v0x7fba8b5d3cb0_0;
    %ix/vec4/s 4;
    %cvt/rs 4;
    %mul/wr;
    %load/vec4 v0x7fba8b5d3890_0;
    %ix/vec4/s 4;
    %cvt/rs 4;
    %div/wr;
    %vpi_call 4 386 "$display", "core0 running: %0d%% (%0d%% thread0, %0d%% thread1)", W<2,r>, W<1,r>, W<0,r> {0 3 0};
    %pushi/real 1677721600, 4072; load=100.000
    %load/vec4 v0x7fba8b5d3aa0_0;
    %load/vec4 v0x7fba8b5d3b50_0;
    %add;
    %ix/vec4/s 4;
    %cvt/rs 4;
    %mul/wr;
    %load/vec4 v0x7fba8b5d3890_0;
    %ix/vec4/s 4;
    %cvt/rs 4;
    %div/wr;
    %pushi/real 1677721600, 4072; load=100.000
    %load/vec4 v0x7fba8b5d3aa0_0;
    %ix/vec4/s 4;
    %cvt/rs 4;
    %mul/wr;
    %load/vec4 v0x7fba8b5d3890_0;
    %ix/vec4/s 4;
    %cvt/rs 4;
    %div/wr;
    %pushi/real 1677721600, 4072; load=100.000
    %load/vec4 v0x7fba8b5d3b50_0;
    %ix/vec4/s 4;
    %cvt/rs 4;
    %mul/wr;
    %load/vec4 v0x7fba8b5d3890_0;
    %ix/vec4/s 4;
    %cvt/rs 4;
    %div/wr;
    %pushi/real 1677721600, 4072; load=100.000
    %load/vec4 v0x7fba8b5d39f0_0;
    %ix/vec4/s 4;
    %cvt/rs 4;
    %mul/wr;
    %load/vec4 v0x7fba8b5d3890_0;
    %ix/vec4/s 4;
    %cvt/rs 4;
    %div/wr;
    %vpi_call 4 391 "$display", "core0  halted: %0d%% (%0d%% load, %0d%% store, %0d%% busy)", W<3,r>, W<2,r>, W<1,r>, W<0,r> {0 4 0};
    %pushi/real 1677721600, 4072; load=100.000
    %load/vec4 v0x7fba8b5d3940_0;
    %ix/vec4/s 4;
    %cvt/rs 4;
    %mul/wr;
    %load/vec4 v0x7fba8b5d3890_0;
    %ix/vec4/s 4;
    %cvt/rs 4;
    %div/wr;
    %vpi_call 4 397 "$display", "core0 stalled: %0d%%", W<0,r> {0 1 0};
    %vpi_call 4 398 "$display", "****************************************************************************" {0 0 0};
    %vpi_call 4 399 "$finish" {0 0 0};
T_6.10 ;
T_6.0 ;
    %jmp T_6;
    .thread T_6;
    .scope S_0x7fba8b559da0;
T_7 ;
    %wait E_0x7fba8b5b62c0;
    %load/vec4 v0x7fba8b5c9850_0;
    %flag_set/vec4 8;
    %jmp/0 T_7.0, 8;
    %pushi/vec4 15, 0, 4;
    %jmp/1 T_7.1, 8;
T_7.0 ; End of true expr.
    %load/vec4 v0x7fba8b5c98e0_0;
    %cmpi/ne 0, 0, 4;
    %flag_mov 9, 4;
    %jmp/0 T_7.2, 9;
    %load/vec4 v0x7fba8b5c98e0_0;
    %subi 1, 0, 4;
    %jmp/1 T_7.3, 9;
T_7.2 ; End of true expr.
    %pushi/vec4 0, 0, 4;
    %jmp/0 T_7.3, 9;
 ; End of false expr.
    %blend;
T_7.3;
    %jmp/0 T_7.1, 8;
 ; End of false expr.
    %blend;
T_7.1;
    %assign/vec4 v0x7fba8b5c98e0_0, 0;
    %load/vec4 v0x7fba8b5c98e0_0;
    %or/r;
    %assign/vec4 v0x7fba8b5ca950_0, 0;
    %load/vec4 v0x7fba8b5ca950_0;
    %flag_set/vec4 8;
    %jmp/0 T_7.4, 8;
    %pushi/vec4 2, 0, 3;
    %jmp/1 T_7.5, 8;
T_7.4 ; End of true expr.
    %load/vec4 v0x7fba8b5c8af0_0;
    %flag_set/vec4 9;
    %jmp/0 T_7.6, 9;
    %load/vec4 v0x7fba8b5c8960_0;
    %pad/u 3;
    %jmp/1 T_7.7, 9;
T_7.6 ; End of true expr.
    %load/vec4 v0x7fba8b5c8960_0;
    %cmpi/ne 0, 0, 2;
    %flag_mov 10, 4;
    %jmp/0 T_7.8, 10;
    %load/vec4 v0x7fba8b5c8960_0;
    %pad/u 3;
    %subi 1, 0, 3;
    %jmp/1 T_7.9, 10;
T_7.8 ; End of true expr.
    %load/vec4 v0x7fba8b5c8cd0_0;
    %flag_set/vec4 11;
    %load/vec4 v0x7fba8b5c8d70_0;
    %flag_set/vec4 12;
    %flag_or 12, 11;
    %load/vec4 v0x7fba8b5c80b0_0;
    %flag_set/vec4 11;
    %flag_or 11, 12;
    %jmp/0 T_7.10, 11;
    %pushi/vec4 2, 0, 3;
    %jmp/1 T_7.11, 11;
T_7.10 ; End of true expr.
    %pushi/vec4 0, 0, 3;
    %jmp/0 T_7.11, 11;
 ; End of false expr.
    %blend;
T_7.11;
    %jmp/0 T_7.9, 10;
 ; End of false expr.
    %blend;
T_7.9;
    %jmp/0 T_7.7, 9;
 ; End of false expr.
    %blend;
T_7.7;
    %jmp/0 T_7.5, 8;
 ; End of false expr.
    %blend;
T_7.5;
    %pad/u 2;
    %assign/vec4 v0x7fba8b5c8960_0, 0;
    %load/vec4 v0x7fba8b5ca950_0;
    %flag_set/vec4 8;
    %jmp/0 T_7.12, 8;
    %load/vec4 v0x7fba8b5c98e0_0;
    %pad/u 32;
    %cmpi/e 2, 0, 32;
    %flag_mov 9, 4;
    %jmp/0 T_7.14, 9;
    %pushi/vec4 8192, 0, 32;
    %jmp/1 T_7.15, 9;
T_7.14 ; End of true expr.
    %pushi/vec4 0, 0, 32;
    %jmp/0 T_7.15, 9;
 ; End of false expr.
    %blend;
T_7.15;
    %jmp/1 T_7.13, 8;
T_7.12 ; End of true expr.
    %load/vec4 v0x7fba8b5c8af0_0;
    %flag_set/vec4 9;
    %jmp/0 T_7.16, 9;
    %load/vec4 v0x7fba8b5c86b0_0;
    %pad/u 6;
    %ix/vec4 4;
    %load/vec4a v0x7fba8b5c9730, 4;
    %jmp/1 T_7.17, 9;
T_7.16 ; End of true expr.
    %load/vec4 v0x7fba8b5c86b0_0;
    %nor/r;
    %flag_set/vec4 10;
    %jmp/0 T_7.18, 10;
    %pushi/vec4 0, 0, 32;
    %jmp/1 T_7.19, 10;
T_7.18 ; End of true expr.
    %load/vec4 v0x7fba8b5c7f70_0;
    %flag_set/vec4 11;
    %jmp/0 T_7.20, 11;
    %load/vec4 v0x7fba8b5c94a0_0;
    %load/vec4 v0x7fba8b5c9f90_0;
    %add;
    %jmp/1 T_7.21, 11;
T_7.20 ; End of true expr.
    %load/vec4 v0x7fba8b5c8cd0_0;
    %flag_set/vec4 12;
    %load/vec4 v0x7fba8b5c8d70_0;
    %flag_set/vec4 13;
    %flag_or 13, 12;
    %jmp/0 T_7.22, 13;
    %load/vec4 v0x7fba8b5c9290_0;
    %jmp/1 T_7.23, 13;
T_7.22 ; End of true expr.
    %load/vec4 v0x7fba8b5c90b0_0;
    %flag_set/vec4 12;
    %jmp/0 T_7.24, 12;
    %load/vec4 v0x7fba8b5c9f90_0;
    %jmp/1 T_7.25, 12;
T_7.24 ; End of true expr.
    %load/vec4 v0x7fba8b5c8f60_0;
    %flag_set/vec4 14;
    %jmp/0 T_7.26, 14;
    %load/vec4 v0x7fba8b5c9000_0;
    %jmp/1 T_7.27, 14;
T_7.26 ; End of true expr.
    %load/vec4 v0x7fba8b5c91f0_0;
    %flag_set/vec4 15;
    %load/vec4 v0x7fba8b5c8a10_0;
    %flag_set/vec4 16;
    %flag_or 16, 15;
    %jmp/0 T_7.28, 16;
    %load/vec4 v0x7fba8b5c9980_0;
    %jmp/1 T_7.29, 16;
T_7.28 ; End of true expr.
    %load/vec4 v0x7fba8b5c86b0_0;
    %pad/u 6;
    %ix/vec4 4;
    %load/vec4a v0x7fba8b5c9730, 4;
    %jmp/0 T_7.29, 16;
 ; End of false expr.
    %blend;
T_7.29;
    %jmp/0 T_7.27, 14;
 ; End of false expr.
    %blend;
T_7.27;
    %jmp/0 T_7.25, 12;
 ; End of false expr.
    %blend;
T_7.25;
    %jmp/0 T_7.23, 13;
 ; End of false expr.
    %blend;
T_7.23;
    %jmp/0 T_7.21, 11;
 ; End of false expr.
    %blend;
T_7.21;
    %jmp/0 T_7.19, 10;
 ; End of false expr.
    %blend;
T_7.19;
    %jmp/0 T_7.17, 9;
 ; End of false expr.
    %blend;
T_7.17;
    %jmp/0 T_7.13, 8;
 ; End of false expr.
    %blend;
T_7.13;
    %load/vec4 v0x7fba8b5c86b0_0;
    %pad/u 6;
    %ix/vec4 3;
    %ix/load 4, 0, 0; Constant delay
    %assign/vec4/a/d v0x7fba8b5c9730, 0, 4;
    %load/vec4 v0x7fba8b5ca950_0;
    %flag_set/vec4 8;
    %jmp/0 T_7.30, 8;
    %load/vec4 v0x7fba8b5c98e0_0;
    %pad/u 32;
    %cmpi/e 2, 0, 32;
    %flag_mov 9, 4;
    %jmp/0 T_7.32, 9;
    %pushi/vec4 8192, 0, 32;
    %jmp/1 T_7.33, 9;
T_7.32 ; End of true expr.
    %pushi/vec4 0, 0, 32;
    %jmp/0 T_7.33, 9;
 ; End of false expr.
    %blend;
T_7.33;
    %jmp/1 T_7.31, 8;
T_7.30 ; End of true expr.
    %load/vec4 v0x7fba8b5c8af0_0;
    %flag_set/vec4 9;
    %jmp/0 T_7.34, 9;
    %load/vec4 v0x7fba8b5c86b0_0;
    %pad/u 6;
    %ix/vec4 4;
    %load/vec4a v0x7fba8b5c97c0, 4;
    %jmp/1 T_7.35, 9;
T_7.34 ; End of true expr.
    %load/vec4 v0x7fba8b5c86b0_0;
    %nor/r;
    %flag_set/vec4 10;
    %jmp/0 T_7.36, 10;
    %pushi/vec4 0, 0, 32;
    %jmp/1 T_7.37, 10;
T_7.36 ; End of true expr.
    %load/vec4 v0x7fba8b5c7f70_0;
    %flag_set/vec4 11;
    %jmp/0 T_7.38, 11;
    %load/vec4 v0x7fba8b5c94a0_0;
    %load/vec4 v0x7fba8b5c9f90_0;
    %add;
    %jmp/1 T_7.39, 11;
T_7.38 ; End of true expr.
    %load/vec4 v0x7fba8b5c8cd0_0;
    %flag_set/vec4 12;
    %load/vec4 v0x7fba8b5c8d70_0;
    %flag_set/vec4 13;
    %flag_or 13, 12;
    %jmp/0 T_7.40, 13;
    %load/vec4 v0x7fba8b5c9290_0;
    %jmp/1 T_7.41, 13;
T_7.40 ; End of true expr.
    %load/vec4 v0x7fba8b5c90b0_0;
    %flag_set/vec4 12;
    %jmp/0 T_7.42, 12;
    %load/vec4 v0x7fba8b5c9f90_0;
    %jmp/1 T_7.43, 12;
T_7.42 ; End of true expr.
    %load/vec4 v0x7fba8b5c8f60_0;
    %flag_set/vec4 14;
    %jmp/0 T_7.44, 14;
    %load/vec4 v0x7fba8b5c9000_0;
    %jmp/1 T_7.45, 14;
T_7.44 ; End of true expr.
    %load/vec4 v0x7fba8b5c91f0_0;
    %flag_set/vec4 15;
    %load/vec4 v0x7fba8b5c8a10_0;
    %flag_set/vec4 16;
    %flag_or 16, 15;
    %jmp/0 T_7.46, 16;
    %load/vec4 v0x7fba8b5c9980_0;
    %jmp/1 T_7.47, 16;
T_7.46 ; End of true expr.
    %load/vec4 v0x7fba8b5c86b0_0;
    %pad/u 6;
    %ix/vec4 4;
    %load/vec4a v0x7fba8b5c97c0, 4;
    %jmp/0 T_7.47, 16;
 ; End of false expr.
    %blend;
T_7.47;
    %jmp/0 T_7.45, 14;
 ; End of false expr.
    %blend;
T_7.45;
    %jmp/0 T_7.43, 12;
 ; End of false expr.
    %blend;
T_7.43;
    %jmp/0 T_7.41, 13;
 ; End of false expr.
    %blend;
T_7.41;
    %jmp/0 T_7.39, 11;
 ; End of false expr.
    %blend;
T_7.39;
    %jmp/0 T_7.37, 10;
 ; End of false expr.
    %blend;
T_7.37;
    %jmp/0 T_7.35, 9;
 ; End of false expr.
    %blend;
T_7.35;
    %jmp/0 T_7.31, 8;
 ; End of false expr.
    %blend;
T_7.31;
    %load/vec4 v0x7fba8b5c86b0_0;
    %pad/u 6;
    %ix/vec4 3;
    %ix/load 4, 0, 0; Constant delay
    %assign/vec4/a/d v0x7fba8b5c97c0, 0, 4;
    %load/vec4 v0x7fba8b5c8af0_0;
    %flag_set/vec4 8;
    %jmp/0 T_7.48, 8;
    %load/vec4 v0x7fba8b5c9290_0;
    %jmp/1 T_7.49, 8;
T_7.48 ; End of true expr.
    %load/vec4 v0x7fba8b5c9340_0;
    %jmp/0 T_7.49, 8;
 ; End of false expr.
    %blend;
T_7.49;
    %assign/vec4 v0x7fba8b5c9290_0, 0;
    %load/vec4 v0x7fba8b5ca950_0;
    %flag_set/vec4 8;
    %jmp/0 T_7.50, 8;
    %pushi/vec4 0, 0, 32;
    %jmp/1 T_7.51, 8;
T_7.50 ; End of true expr.
    %load/vec4 v0x7fba8b5c8af0_0;
    %flag_set/vec4 9;
    %jmp/0 T_7.52, 9;
    %load/vec4 v0x7fba8b5c9340_0;
    %jmp/1 T_7.53, 9;
T_7.52 ; End of true expr.
    %load/vec4 v0x7fba8b5c8e10_0;
    %flag_set/vec4 10;
    %jmp/0 T_7.54, 10;
    %load/vec4 v0x7fba8b5c8eb0_0;
    %jmp/1 T_7.55, 10;
T_7.54 ; End of true expr.
    %load/vec4 v0x7fba8b5c9340_0;
    %addi 4, 0, 32;
    %jmp/0 T_7.55, 10;
 ; End of false expr.
    %blend;
T_7.55;
    %jmp/0 T_7.53, 9;
 ; End of false expr.
    %blend;
T_7.53;
    %jmp/0 T_7.51, 8;
 ; End of false expr.
    %blend;
T_7.51;
    %assign/vec4 v0x7fba8b5c9340_0, 0;
    %load/vec4 v0x7fba8b5c8af0_0;
    %flag_set/vec4 8;
    %jmp/0 T_7.56, 8;
    %load/vec4 v0x7fba8b5c94a0_0;
    %jmp/1 T_7.57, 8;
T_7.56 ; End of true expr.
    %load/vec4 v0x7fba8b5c9290_0;
    %jmp/0 T_7.57, 8;
 ; End of false expr.
    %blend;
T_7.57;
    %assign/vec4 v0x7fba8b5c94a0_0, 0;
    %jmp T_7;
    .thread T_7;
    .scope S_0x7fba8b55aee0;
T_8 ;
    %pushi/vec4 255, 0, 8;
    %store/vec4 v0x7fba8b5d7930_0, 0, 8;
    %pushi/vec4 0, 0, 16;
    %store/vec4 v0x7fba8b5d71f0_0, 0, 16;
    %pushi/vec4 0, 0, 16;
    %store/vec4 v0x7fba8b5d7b40_0, 0, 16;
    %pushi/vec4 0, 0, 32;
    %store/vec4 v0x7fba8b5d73c0_0, 0, 32;
    %pushi/vec4 0, 0, 1;
    %store/vec4 v0x7fba8b5d7310_0, 0, 1;
    %pushi/vec4 0, 0, 2;
    %store/vec4 v0x7fba8b5d6c40_0, 0, 2;
    %pushi/vec4 0, 0, 8;
    %store/vec4 v0x7fba8b5d7880_0, 0, 8;
    %pushi/vec4 0, 0, 8;
    %store/vec4 v0x7fba8b5d74a0_0, 0, 8;
    %pushi/vec4 0, 0, 32;
    %store/vec4 v0x7fba8b5d81d0_0, 0, 32;
    %pushi/vec4 0, 0, 1;
    %store/vec4 v0x7fba8b5d88c0_0, 0, 1;
    %end;
    .thread T_8;
    .scope S_0x7fba8b55aee0;
T_9 ;
    %wait E_0x7fba8b5b5d30;
    %load/vec4 v0x7fba8b5d8820_0;
    %pad/u 32;
    %cmpi/e 1, 0, 32;
    %flag_mov 8, 4;
    %jmp/0 T_9.0, 8;
    %pushi/vec4 255, 0, 8;
    %jmp/1 T_9.1, 8;
T_9.0 ; End of true expr.
    %load/vec4 v0x7fba8b5d7930_0;
    %parti/s 1, 7, 4;
    %flag_set/vec4 9;
    %jmp/0 T_9.2, 9;
    %load/vec4 v0x7fba8b5d7930_0;
    %subi 1, 0, 8;
    %jmp/1 T_9.3, 9;
T_9.2 ; End of true expr.
    %pushi/vec4 0, 0, 8;
    %jmp/0 T_9.3, 9;
 ; End of false expr.
    %blend;
T_9.3;
    %jmp/0 T_9.1, 8;
 ; End of false expr.
    %blend;
T_9.1;
    %assign/vec4 v0x7fba8b5d7930_0, 0;
    %jmp T_9;
    .thread T_9;
    .scope S_0x7fba8b55aee0;
T_10 ;
    %pushi/vec4 0, 0, 32;
    %store/vec4 v0x7fba8b5df850_0, 0, 32;
T_10.0 ;
    %load/vec4 v0x7fba8b5df850_0;
    %cmpi/ne 2048, 0, 32;
    %jmp/0xz T_10.1, 4;
    %pushi/vec4 0, 0, 32;
    %ix/getv/s 4, v0x7fba8b5df850_0;
    %store/vec4a v0x7fba8b5d7bf0, 4, 0;
    %load/vec4 v0x7fba8b5df850_0;
    %addi 1, 0, 32;
    %store/vec4 v0x7fba8b5df850_0, 0, 32;
    %jmp T_10.0;
T_10.1 ;
    %vpi_call 3 242 "$readmemh", "../src/darksocv.mem", v0x7fba8b5d7bf0 {0 0 0};
    %end;
    .thread T_10;
    .scope S_0x7fba8b55aee0;
T_11 ;
    %wait E_0x7fba8b5b62c0;
    %load/vec4 v0x7fba8b5d7280_0;
    %flag_set/vec4 8;
    %jmp/0xz  T_11.0, 8;
    %load/vec4 v0x7fba8b5d7eb0_0;
    %assign/vec4 v0x7fba8b5d73c0_0, 0;
T_11.0 ;
    %load/vec4 v0x7fba8b5d7280_0;
    %assign/vec4 v0x7fba8b5d7310_0, 0;
    %jmp T_11;
    .thread T_11;
    .scope S_0x7fba8b55aee0;
T_12 ;
    %wait E_0x7fba8b5b62c0;
    %load/vec4 v0x7fba8b5d7550_0;
    %parti/s 11, 2, 3;
    %pad/u 13;
    %ix/vec4 4;
    %load/vec4a v0x7fba8b5d7bf0, 4;
    %assign/vec4 v0x7fba8b5d7eb0_0, 0;
    %jmp T_12;
    .thread T_12;
    .scope S_0x7fba8b55aee0;
T_13 ;
    %wait E_0x7fba8b5b62c0;
    %load/vec4 v0x7fba8b5d7de0_0;
    %flag_set/vec4 8;
    %jmp/0 T_13.0, 8;
    %pushi/vec4 0, 0, 2;
    %jmp/1 T_13.1, 8;
T_13.0 ; End of true expr.
    %load/vec4 v0x7fba8b5d6c40_0;
    %cmpi/ne 0, 0, 2;
    %flag_mov 9, 4;
    %jmp/0 T_13.2, 9;
    %load/vec4 v0x7fba8b5d6c40_0;
    %subi 1, 0, 2;
    %jmp/1 T_13.3, 9;
T_13.2 ; End of true expr.
    %load/vec4 v0x7fba8b5d7d40_0;
    %flag_set/vec4 10;
    %jmp/0 T_13.4, 10;
    %pushi/vec4 1, 0, 2;
    %jmp/1 T_13.5, 10;
T_13.4 ; End of true expr.
    %pushi/vec4 0, 0, 2;
    %jmp/0 T_13.5, 10;
 ; End of false expr.
    %blend;
T_13.5;
    %jmp/0 T_13.3, 9;
 ; End of false expr.
    %blend;
T_13.3;
    %jmp/0 T_13.1, 8;
 ; End of false expr.
    %blend;
T_13.1;
    %assign/vec4 v0x7fba8b5d6c40_0, 0;
    %jmp T_13;
    .thread T_13;
    .scope S_0x7fba8b55aee0;
T_14 ;
    %wait E_0x7fba8b5b62c0;
    %load/vec4 v0x7fba8b5d6cd0_0;
    %parti/s 11, 2, 3;
    %pad/u 13;
    %ix/vec4 4;
    %load/vec4a v0x7fba8b5d7bf0, 4;
    %assign/vec4 v0x7fba8b5d7c90_0, 0;
    %jmp T_14;
    .thread T_14;
    .scope S_0x7fba8b55aee0;
T_15 ;
    %wait E_0x7fba8b5b62c0;
    %load/vec4 v0x7fba8b5d7280_0;
    %nor/r;
    %load/vec4 v0x7fba8b5d8590_0;
    %and;
    %load/vec4 v0x7fba8b5d6cd0_0;
    %parti/s 1, 31, 6;
    %pad/u 32;
    %pushi/vec4 0, 0, 32;
    %cmp/e;
    %flag_get/vec4 4;
    %and;
    %load/vec4 v0x7fba8b5d6880_0;
    %parti/s 1, 3, 3;
    %and;
    %flag_set/vec4 8;
    %jmp/0xz  T_15.0, 8;
    %load/vec4 v0x7fba8b5d6e90_0;
    %parti/s 8, 24, 6;
    %load/vec4 v0x7fba8b5d6cd0_0;
    %parti/s 11, 2, 3;
    %pad/u 13;
    %ix/vec4 3;
    %ix/load 4, 24, 0; part off
    %ix/load 5, 0, 0; Constant delay
    %assign/vec4/a/d v0x7fba8b5d7bf0, 4, 5;
T_15.0 ;
    %load/vec4 v0x7fba8b5d7280_0;
    %nor/r;
    %load/vec4 v0x7fba8b5d8590_0;
    %and;
    %load/vec4 v0x7fba8b5d6cd0_0;
    %parti/s 1, 31, 6;
    %pad/u 32;
    %pushi/vec4 0, 0, 32;
    %cmp/e;
    %flag_get/vec4 4;
    %and;
    %load/vec4 v0x7fba8b5d6880_0;
    %parti/s 1, 2, 3;
    %and;
    %flag_set/vec4 8;
    %jmp/0xz  T_15.2, 8;
    %load/vec4 v0x7fba8b5d6e90_0;
    %parti/s 8, 16, 6;
    %load/vec4 v0x7fba8b5d6cd0_0;
    %parti/s 11, 2, 3;
    %pad/u 13;
    %ix/vec4 3;
    %ix/load 4, 16, 0; part off
    %ix/load 5, 0, 0; Constant delay
    %assign/vec4/a/d v0x7fba8b5d7bf0, 4, 5;
T_15.2 ;
    %load/vec4 v0x7fba8b5d7280_0;
    %nor/r;
    %load/vec4 v0x7fba8b5d8590_0;
    %and;
    %load/vec4 v0x7fba8b5d6cd0_0;
    %parti/s 1, 31, 6;
    %pad/u 32;
    %pushi/vec4 0, 0, 32;
    %cmp/e;
    %flag_get/vec4 4;
    %and;
    %load/vec4 v0x7fba8b5d6880_0;
    %parti/s 1, 1, 2;
    %and;
    %flag_set/vec4 8;
    %jmp/0xz  T_15.4, 8;
    %load/vec4 v0x7fba8b5d6e90_0;
    %parti/s 8, 8, 5;
    %load/vec4 v0x7fba8b5d6cd0_0;
    %parti/s 11, 2, 3;
    %pad/u 13;
    %ix/vec4 3;
    %ix/load 4, 8, 0; part off
    %ix/load 5, 0, 0; Constant delay
    %assign/vec4/a/d v0x7fba8b5d7bf0, 4, 5;
T_15.4 ;
    %load/vec4 v0x7fba8b5d7280_0;
    %nor/r;
    %load/vec4 v0x7fba8b5d8590_0;
    %and;
    %load/vec4 v0x7fba8b5d6cd0_0;
    %parti/s 1, 31, 6;
    %pad/u 32;
    %pushi/vec4 0, 0, 32;
    %cmp/e;
    %flag_get/vec4 4;
    %and;
    %load/vec4 v0x7fba8b5d6880_0;
    %parti/s 1, 0, 2;
    %and;
    %flag_set/vec4 8;
    %jmp/0xz  T_15.6, 8;
    %load/vec4 v0x7fba8b5d6e90_0;
    %parti/s 8, 0, 2;
    %load/vec4 v0x7fba8b5d6cd0_0;
    %parti/s 11, 2, 3;
    %pad/u 13;
    %ix/vec4 3;
    %ix/load 4, 0, 0; Constant delay
    %assign/vec4/a/d v0x7fba8b5d7bf0, 0, 4;
T_15.6 ;
    %load/vec4 v0x7fba8b5d6cd0_0;
    %parti/s 2, 2, 3;
    %pad/u 4;
    %ix/vec4 4;
    %load/vec4a v0x7fba8b5d7730, 4;
    %assign/vec4 v0x7fba8b5d77d0_0, 0;
    %jmp T_15;
    .thread T_15;
    .scope S_0x7fba8b55aee0;
T_16 ;
    %wait E_0x7fba8b5b62c0;
    %load/vec4 v0x7fba8b5d8590_0;
    %load/vec4 v0x7fba8b5d6cd0_0;
    %parti/s 1, 31, 6;
    %and;
    %load/vec4 v0x7fba8b5d6cd0_0;
    %parti/s 4, 0, 2;
    %pushi/vec4 8, 0, 4;
    %cmp/e;
    %flag_get/vec4 4;
    %and;
    %flag_set/vec4 8;
    %jmp/0xz  T_16.0, 8;
    %load/vec4 v0x7fba8b5d6e90_0;
    %parti/s 16, 0, 2;
    %assign/vec4 v0x7fba8b5d7b40_0, 0;
T_16.0 ;
    %load/vec4 v0x7fba8b5d8590_0;
    %load/vec4 v0x7fba8b5d6cd0_0;
    %parti/s 1, 31, 6;
    %and;
    %load/vec4 v0x7fba8b5d6cd0_0;
    %parti/s 4, 0, 2;
    %pushi/vec4 10, 0, 4;
    %cmp/e;
    %flag_get/vec4 4;
    %and;
    %flag_set/vec4 8;
    %jmp/0xz  T_16.2, 8;
    %load/vec4 v0x7fba8b5d6e90_0;
    %parti/s 16, 16, 6;
    %assign/vec4 v0x7fba8b5d71f0_0, 0;
T_16.2 ;
    %load/vec4 v0x7fba8b5d7de0_0;
    %flag_set/vec4 8;
    %jmp/0xz  T_16.4, 8;
    %pushi/vec4 99, 0, 32;
    %assign/vec4 v0x7fba8b5d8260_0, 0;
    %jmp T_16.5;
T_16.4 ;
    %load/vec4 v0x7fba8b5d8590_0;
    %load/vec4 v0x7fba8b5d6cd0_0;
    %parti/s 1, 31, 6;
    %and;
    %load/vec4 v0x7fba8b5d6cd0_0;
    %parti/s 4, 0, 2;
    %pushi/vec4 12, 0, 4;
    %cmp/e;
    %flag_get/vec4 4;
    %and;
    %flag_set/vec4 8;
    %jmp/0xz  T_16.6, 8;
    %load/vec4 v0x7fba8b5d6e90_0;
    %assign/vec4 v0x7fba8b5d8260_0, 0;
T_16.6 ;
T_16.5 ;
    %load/vec4 v0x7fba8b5d7de0_0;
    %flag_set/vec4 8;
    %jmp/0xz  T_16.8, 8;
    %pushi/vec4 0, 0, 8;
    %assign/vec4 v0x7fba8b5d74a0_0, 0;
    %jmp T_16.9;
T_16.8 ;
    %load/vec4 v0x7fba8b5d8590_0;
    %load/vec4 v0x7fba8b5d6cd0_0;
    %parti/s 1, 31, 6;
    %and;
    %load/vec4 v0x7fba8b5d6cd0_0;
    %parti/s 4, 0, 2;
    %pushi/vec4 3, 0, 4;
    %cmp/e;
    %flag_get/vec4 4;
    %and;
    %flag_set/vec4 8;
    %jmp/0xz  T_16.10, 8;
    %load/vec4 v0x7fba8b5d6e90_0;
    %parti/s 1, 31, 6;
    %flag_set/vec4 8;
    %jmp/0 T_16.12, 8;
    %load/vec4 v0x7fba8b5d7880_0;
    %parti/s 1, 7, 4;
    %jmp/1 T_16.13, 8;
T_16.12 ; End of true expr.
    %load/vec4 v0x7fba8b5d74a0_0;
    %parti/s 1, 7, 4;
    %jmp/0 T_16.13, 8;
 ; End of false expr.
    %blend;
T_16.13;
    %ix/load 4, 7, 0;
    %ix/load 5, 0, 0;
    %flag_set/imm 4, 0;
    %assign/vec4/off/d v0x7fba8b5d74a0_0, 4, 5;
    %load/vec4 v0x7fba8b5d6e90_0;
    %parti/s 1, 30, 6;
    %flag_set/vec4 8;
    %jmp/0 T_16.14, 8;
    %load/vec4 v0x7fba8b5d7880_0;
    %parti/s 1, 6, 4;
    %jmp/1 T_16.15, 8;
T_16.14 ; End of true expr.
    %load/vec4 v0x7fba8b5d74a0_0;
    %parti/s 1, 6, 4;
    %jmp/0 T_16.15, 8;
 ; End of false expr.
    %blend;
T_16.15;
    %ix/load 4, 6, 0;
    %ix/load 5, 0, 0;
    %flag_set/imm 4, 0;
    %assign/vec4/off/d v0x7fba8b5d74a0_0, 4, 5;
    %load/vec4 v0x7fba8b5d6e90_0;
    %parti/s 1, 29, 6;
    %flag_set/vec4 8;
    %jmp/0 T_16.16, 8;
    %load/vec4 v0x7fba8b5d7880_0;
    %parti/s 1, 5, 4;
    %jmp/1 T_16.17, 8;
T_16.16 ; End of true expr.
    %load/vec4 v0x7fba8b5d74a0_0;
    %parti/s 1, 5, 4;
    %jmp/0 T_16.17, 8;
 ; End of false expr.
    %blend;
T_16.17;
    %ix/load 4, 5, 0;
    %ix/load 5, 0, 0;
    %flag_set/imm 4, 0;
    %assign/vec4/off/d v0x7fba8b5d74a0_0, 4, 5;
    %load/vec4 v0x7fba8b5d6e90_0;
    %parti/s 1, 28, 6;
    %flag_set/vec4 8;
    %jmp/0 T_16.18, 8;
    %load/vec4 v0x7fba8b5d7880_0;
    %parti/s 1, 4, 4;
    %jmp/1 T_16.19, 8;
T_16.18 ; End of true expr.
    %load/vec4 v0x7fba8b5d74a0_0;
    %parti/s 1, 4, 4;
    %jmp/0 T_16.19, 8;
 ; End of false expr.
    %blend;
T_16.19;
    %ix/load 4, 4, 0;
    %ix/load 5, 0, 0;
    %flag_set/imm 4, 0;
    %assign/vec4/off/d v0x7fba8b5d74a0_0, 4, 5;
    %load/vec4 v0x7fba8b5d6e90_0;
    %parti/s 1, 27, 6;
    %flag_set/vec4 8;
    %jmp/0 T_16.20, 8;
    %load/vec4 v0x7fba8b5d7880_0;
    %parti/s 1, 3, 3;
    %jmp/1 T_16.21, 8;
T_16.20 ; End of true expr.
    %load/vec4 v0x7fba8b5d74a0_0;
    %parti/s 1, 3, 3;
    %jmp/0 T_16.21, 8;
 ; End of false expr.
    %blend;
T_16.21;
    %ix/load 4, 3, 0;
    %ix/load 5, 0, 0;
    %flag_set/imm 4, 0;
    %assign/vec4/off/d v0x7fba8b5d74a0_0, 4, 5;
    %load/vec4 v0x7fba8b5d6e90_0;
    %parti/s 1, 26, 6;
    %flag_set/vec4 8;
    %jmp/0 T_16.22, 8;
    %load/vec4 v0x7fba8b5d7880_0;
    %parti/s 1, 2, 3;
    %jmp/1 T_16.23, 8;
T_16.22 ; End of true expr.
    %load/vec4 v0x7fba8b5d74a0_0;
    %parti/s 1, 2, 3;
    %jmp/0 T_16.23, 8;
 ; End of false expr.
    %blend;
T_16.23;
    %ix/load 4, 2, 0;
    %ix/load 5, 0, 0;
    %flag_set/imm 4, 0;
    %assign/vec4/off/d v0x7fba8b5d74a0_0, 4, 5;
    %load/vec4 v0x7fba8b5d6e90_0;
    %parti/s 1, 25, 6;
    %flag_set/vec4 8;
    %jmp/0 T_16.24, 8;
    %load/vec4 v0x7fba8b5d7880_0;
    %parti/s 1, 1, 2;
    %jmp/1 T_16.25, 8;
T_16.24 ; End of true expr.
    %load/vec4 v0x7fba8b5d74a0_0;
    %parti/s 1, 1, 2;
    %jmp/0 T_16.25, 8;
 ; End of false expr.
    %blend;
T_16.25;
    %ix/load 4, 1, 0;
    %ix/load 5, 0, 0;
    %flag_set/imm 4, 0;
    %assign/vec4/off/d v0x7fba8b5d74a0_0, 4, 5;
    %load/vec4 v0x7fba8b5d6e90_0;
    %parti/s 1, 24, 6;
    %flag_set/vec4 8;
    %jmp/0 T_16.26, 8;
    %load/vec4 v0x7fba8b5d7880_0;
    %parti/s 1, 0, 2;
    %jmp/1 T_16.27, 8;
T_16.26 ; End of true expr.
    %load/vec4 v0x7fba8b5d74a0_0;
    %parti/s 1, 0, 2;
    %jmp/0 T_16.27, 8;
 ; End of false expr.
    %blend;
T_16.27;
    %ix/load 4, 0, 0;
    %ix/load 5, 0, 0;
    %flag_set/imm 4, 0;
    %assign/vec4/off/d v0x7fba8b5d74a0_0, 4, 5;
T_16.10 ;
T_16.9 ;
    %load/vec4 v0x7fba8b5d7de0_0;
    %flag_set/vec4 8;
    %jmp/0xz  T_16.28, 8;
    %pushi/vec4 0, 0, 8;
    %assign/vec4 v0x7fba8b5d7880_0, 0;
    %jmp T_16.29;
T_16.28 ;
    %load/vec4 v0x7fba8b5d8260_0;
    %cmpi/ne 0, 0, 32;
    %jmp/0xz  T_16.30, 4;
    %load/vec4 v0x7fba8b5d81d0_0;
    %cmpi/ne 0, 0, 32;
    %flag_mov 8, 4;
    %jmp/0 T_16.32, 8;
    %load/vec4 v0x7fba8b5d81d0_0;
    %subi 1, 0, 32;
    %jmp/1 T_16.33, 8;
T_16.32 ; End of true expr.
    %load/vec4 v0x7fba8b5d8260_0;
    %jmp/0 T_16.33, 8;
 ; End of false expr.
    %blend;
T_16.33;
    %assign/vec4 v0x7fba8b5d81d0_0, 0;
    %load/vec4 v0x7fba8b5d81d0_0;
    %pushi/vec4 0, 0, 32;
    %cmp/e;
    %flag_get/vec4 4;
    %load/vec4 v0x7fba8b5d7880_0;
    %load/vec4 v0x7fba8b5d74a0_0;
    %cmp/e;
    %flag_get/vec4 4;
    %and;
    %flag_set/vec4 8;
    %jmp/0xz  T_16.34, 8;
    %load/vec4 v0x7fba8b5d74a0_0;
    %parti/s 1, 7, 4;
    %nor/r;
    %ix/load 4, 7, 0;
    %ix/load 5, 0, 0;
    %flag_set/imm 4, 0;
    %assign/vec4/off/d v0x7fba8b5d7880_0, 4, 5;
T_16.34 ;
    %load/vec4 v0x7fba8b5d88c0_0;
    %load/vec4 v0x7fba8b5d81d0_0;
    %pushi/vec4 0, 0, 32;
    %cmp/e;
    %flag_get/vec4 4;
    %add;
    %assign/vec4 v0x7fba8b5d88c0_0, 0;
T_16.30 ;
T_16.29 ;
    %jmp T_16;
    .thread T_16;
    .scope S_0x7fba8b55aee0;
T_17 ;
    %vpi_call 3 751 "$dumpfile", "darksocv.vcd" {0 0 0};
    %vpi_call 3 752 "$dumpvars" {0 0 0};
    %end;
    .thread T_17;
    .scope S_0x7fba8b5429a0;
T_18 ;
    %pushi/vec4 0, 0, 1;
    %store/vec4 v0x7fba8b5df990_0, 0, 1;
    %pushi/vec4 1, 0, 1;
    %store/vec4 v0x7fba8b5dfa50_0, 0, 1;
    %end;
    .thread T_18;
    .scope S_0x7fba8b5429a0;
T_19 ;
T_19.0 ;
    %pushi/vec4 1, 0, 32;
    %or/r;
    %flag_set/vec4 8;
    %jmp/0xz T_19.1, 8;
    %delay 5000, 0;
    %load/vec4 v0x7fba8b5df990_0;
    %nor/r;
    %store/vec4 v0x7fba8b5df990_0, 0, 1;
    %jmp T_19.0;
T_19.1 ;
    %end;
    .thread T_19;
    .scope S_0x7fba8b5429a0;
T_20 ;
    %vpi_call 2 46 "$display", "reset (startup)" {0 0 0};
    %delay 1000000, 0;
    %pushi/vec4 0, 0, 1;
    %store/vec4 v0x7fba8b5dfa50_0, 0, 1;
    %end;
    .thread T_20;
# The file index is used to find the file name in the following table.
:file_names 6;
    "N/A";
    "<interactive>";
    "darksimv.v";
    "../rtl/darksocv.v";
    "../rtl/darkriscv.v";
    "../rtl/darkuart.v";

Go to most recent revision | Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.