OpenCores
URL https://opencores.org/ocsvn/esoc/esoc/trunk

Subversion Repositories esoc

[/] [esoc/] [trunk/] [Simulation/] [Modelsim/] [transcript] - Rev 41

Compare with Previous | Blame | View Log

do simulate.do 
Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
-- Loading package standard
-- Loading package std_logic_1164
-- Loading package altera_mf_components
-- Loading package sgate_pack
-- Compiling entity esoc_emac_c3
-- Compiling architecture rtl of esoc_emac_c3
Model Technology ModelSim ALTERA vcom 6.3g_p1 Compiler 2008.08 Aug 13 2008
-- Loading package standard
-- Loading package std_logic_1164
-- Loading package textio
-- Loading package numeric_std
-- Loading package esoc_configuration
-- Loading package package_txt_utilities
-- Compiling entity esoc_tb
-- Compiling architecture esoc_tb of esoc_tb
vsim -t ps work.esoc_tb 
Loading std.standard
Loading ieee.std_logic_1164(body)
Loading std.textio(body)
Loading ieee.numeric_std(body)
Loading work.esoc_configuration(body)
Loading work.package_txt_utilities(body)
Loading work.esoc_tb(esoc_tb)
Loading work.esoc(structure)
Loading work.esoc_port(esoc_port)
Loading work.esoc_emac(structure)
Loading work.esoc_emal(esoc_emal)
Loading work.esoc_emal_control(esoc_emal_control)
Loading work.esoc_emal_inbound(esoc_emal_inbound)
Loading work.esoc_emal_outbound(esoc_emal_outbound)
Loading work.esoc_emal_clock(esoc_emal_clock)
Loading altera_mf.altera_mf_components
Loading sgate.sgate_pack(body)
Loading work.esoc_emac_c3(rtl)
Loading ieee.std_logic_arith(body)
Loading ieee.std_logic_unsigned(body)
Loading altera_mf.altera_device_families(body)
Loading altera_mf.altera_common_conversion(body)
Loading altera_mf.altera_mf_hint_evaluation(body)
Loading altera_mf.alt3pram(behavior)
Loading altera_mf.altsyncram(translated)
Loading altera_mf.altddio_in(behave)
Loading altera_mf.altddio_out(behave)
Loading altera_mf.altshift_taps(behavioural)
Loading ieee.std_logic_signed(body)
Loading sgate.oper_add(sim_arch)
Loading sgate.oper_decoder(sim_arch)
Loading sgate.oper_less_than(sim_arch)
Loading sgate.oper_mux(sim_arch)
Loading sgate.oper_selector(sim_arch)
Loading work.esoc_packet_process(structure)
Loading work.esoc_control(esoc_control)
Loading work.esoc_reset(esoc_reset)
Loading work.esoc_arbiter(esoc_arbiter)
Loading work.esoc_search(esoc_search)
Loading work.esoc_pll1_c3(syn)
Loading altera_mf.mf_pllpack(body)
Loading altera_mf.altpll(behavior)
Loading altera_mf.mf_cycloneiii_pll(vital_pll)
Loading altera_mf.mf_cda_mn_cntr(behave)
Loading altera_mf.mf_cda_scale_cntr(behave)
Loading work.esoc_pll2_c3(syn)
** Warning: (vsim-WLF-5000) Waveform log file vsim.wlf currently in use.
File in use by: Saskia & Bert  Hostname: NETBOOK  ProcessID: 2492
          Attempting to use alternate file "./wlft2ntq2b".
** Warning: (vsim-WLF-5001) Could not open waveform log file vsim.wlf.  Using ./wlft2ntq2b instead.
** Note: Cyclone III PLL locked to incoming clock
   Time: 60 ns  Iteration: 3  Instance: /esoc_tb/esoc_tb/u1/altpll_component/cycloneiii_altpll/m5
** Note: Cyclone III PLL locked to incoming clock
   Time: 60 ns  Iteration: 3  Instance: /esoc_tb/esoc_tb/u3/altpll_component/cycloneiii_altpll/m5
** Note: ESOC -> reset released
   Time: 1 us  Iteration: 0  Instance: /esoc_tb
** Note: ESOC -> generate read/write cycles on control interface
   Time: 2 us  Iteration: 0  Instance: /esoc_tb
** Note: ESOC -> read from address 8000h 00000000h, expected 00000000h, status: OK
   Time: 2150 ns  Iteration: 0  Instance: /esoc_tb
** Note: ESOC -> read from address 8001h 00010000h, expected 00010000h, status: OK
   Time: 2290 ns  Iteration: 0  Instance: /esoc_tb
** Note: ESOC -> read from address 8002h 00000008h, expected 00000008h, status: OK
   Time: 2430 ns  Iteration: 0  Instance: /esoc_tb
** Note: ESOC -> write 32100123h to address 8000h
   Time: 2430 ns  Iteration: 0  Instance: /esoc_tb
** Note: ESOC -> read from address 8000h 32100123h, expected 32100123h, status: OK
   Time: 2790 ns  Iteration: 0  Instance: /esoc_tb
** Error: ESOC -> wait for 2000ns
   Time: 2790 ns  Iteration: 0  Instance: /esoc_tb
** Note: ESOC -> read from address 8800h 00000000h, expected 00000000h, status: OK
   Time: 4950 ns  Iteration: 0  Instance: /esoc_tb
** Note: ESOC -> write FED00DEFh to address 8800h
   Time: 4950 ns  Iteration: 0  Instance: /esoc_tb
** Note: ESOC -> read from address 8800h FED00DEFh, expected FED00DEFh, status: OK
   Time: 5310 ns  Iteration: 0  Instance: /esoc_tb
** Error: ESOC -> wait for 2000ns
   Time: 5310 ns  Iteration: 0  Instance: /esoc_tb
** Note: ESOC -> read from address 0005h 000005EEh, expected 000005EEh, status: OK
   Time: 7490 ns  Iteration: 0  Instance: /esoc_tb
** Note: ESOC -> write 00000432h to address 0005h
   Time: 7490 ns  Iteration: 0  Instance: /esoc_tb
** Note: ESOC -> read from address 0005h 00000432h, expected 00000432h, status: OK
   Time: 7870 ns  Iteration: 0  Instance: /esoc_tb
** Error: ESOC -> wait for 2000ns
   Time: 7870 ns  Iteration: 0  Instance: /esoc_tb
** Note: ESOC -> read from address 0100h 00000001h, expected 00000001h, status: OK
   Time: 10030 ns  Iteration: 0  Instance: /esoc_tb
** Note: ESOC -> write ABC00ABCh to address 0100h
   Time: 10030 ns  Iteration: 0  Instance: /esoc_tb
** Note: ESOC -> read from address 0100h ABC00ABCh, expected ABC00ABCh, status: OK
   Time: 10390 ns  Iteration: 0  Instance: /esoc_tb
** Error: ESOC -> wait for 2000ns
   Time: 10390 ns  Iteration: 0  Instance: /esoc_tb
** Note: ESOC -> read from address 3805h 000005EEh, expected 000005EEh, status: OK
   Time: 12570 ns  Iteration: 0  Instance: /esoc_tb
** Note: ESOC -> write 00000100h to address 3805h
   Time: 12570 ns  Iteration: 0  Instance: /esoc_tb
** Note: ESOC -> read from address 3805h 00000100h, expected 00000100h, status: OK
   Time: 12950 ns  Iteration: 0  Instance: /esoc_tb
** Error: ESOC -> wait for 2000ns
   Time: 12950 ns  Iteration: 0  Instance: /esoc_tb
** Note: ESOC -> read from address 3900h 00000001h, expected 00000001h, status: OK
   Time: 15110 ns  Iteration: 0  Instance: /esoc_tb
** Note: ESOC -> write 12300321h to address 3900h
   Time: 15110 ns  Iteration: 0  Instance: /esoc_tb
** Note: ESOC -> read from address 3900h 12300321h, expected 12300321h, status: OK
   Time: 15470 ns  Iteration: 0  Instance: /esoc_tb
** Error: ESOC -> wait for 2000ns
   Time: 15470 ns  Iteration: 0  Instance: /esoc_tb
** Note: ESOC -> read from address 8000h 32100123h, expected 32100123h, status: OK
   Time: 17630 ns  Iteration: 0  Instance: /esoc_tb
** Note: ESOC -> read from address 8800h FED00DEFh, expected FED00DEFh, status: OK
   Time: 17770 ns  Iteration: 0  Instance: /esoc_tb
** Note: ESOC -> read from address 0005h 00000432h, expected 00000432h, status: OK
   Time: 17930 ns  Iteration: 0  Instance: /esoc_tb
** Note: ESOC -> read from address 0100h ABC00ABCh, expected ABC00ABCh, status: OK
   Time: 18070 ns  Iteration: 0  Instance: /esoc_tb
** Note: ESOC -> read from address 3805h 00000100h, expected 00000100h, status: OK
   Time: 18230 ns  Iteration: 0  Instance: /esoc_tb
** Note: ESOC -> read from address 3900h 12300321h, expected 12300321h, status: OK
   Time: 18370 ns  Iteration: 0  Instance: /esoc_tb

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.