OpenCores
URL https://opencores.org/ocsvn/esoc/esoc/trunk

Subversion Repositories esoc

[/] [esoc/] [trunk/] [Simulation/] [Modelsim/] [vish_stacktrace.vstf] - Rev 41

Compare with Previous | Blame | View Log

# Current time Mon Dec 10 12:31:04 2012
# ModelSim Stack Trace
# Program = vish
# Id = "6.3g_p1"
# Version = "2008.08"
# Date = "Aug 13 2008"
# Platform = win32pe

Exception c0000005 has occurred at address 004e8f8d. Traceback:
# 0    0x004e8f8d: 'Mtirpc_Init + 0x8cd0d'
# 1    0x005024ff: 'Mtirpc_Init + 0xa627f'
# 2    0x0048aad5: 'Mtirpc_Init + 0x2e855'
# 3    0x004d44da: 'Mtirpc_Init + 0x7825a'
# 4    0x004d43e3: 'Mtirpc_Init + 0x78163'
# 5    0x004d3c0b: 'Mtirpc_Init + 0x7798b'
# 6    0x004d5939: 'Mtirpc_Init + 0x796b9'
# 7    0x0047b70d: 'Mtirpc_Init + 0x1f48d'
# 8    0x0047f7d4: 'Mtirpc_Init + 0x23554'
# 9    0x1000d52b: 'TclEvalObjvInternal + 0x24b'
# 10   0x1002cad7: 'TclCompEvalObj + 0x637'
# 11   0x1002c590: 'TclCompEvalObj + 0xf0'
# 12   0x1000e159: 'Tcl_EvalObjEx + 0x59'
# 13   0x02f19390: 'Itcl_EvalMemberCode + 0x210'
# 14   0x047cdd20: '<unknown (@0x47cdd20)>'
StackWalk failed 126
# End of Stack Trace

# Current time Thu Sep 12 12:45:52 2013
# ModelSim Stack Trace
# Program = vish
# Id = "6.3g_p1"
# Version = "2008.08"
# Date = "Aug 13 2008"
# Platform = win32pe

Exception c0000005 has occurred at address 0051f230. Traceback:
# 0    0x0051f230: 'Mtirpc_Init + 0xc2fb0'
# 1    0x004e83c6: 'Mtirpc_Init + 0x8c146'
# 2    0x004e7def: 'Mtirpc_Init + 0x8bb6f'
# 3    0x00538039: 'Mkziplib_SafeInit + 0x5fe9'
# 4    0x004ec3f0: 'Mtirpc_Init + 0x90170'
# 5    0x004dbff4: 'Mtirpc_Init + 0x7fd74'
# 6    0x0048a616: 'Mtirpc_Init + 0x2e396'
# 7    0x004d4440: 'Mtirpc_Init + 0x781c0'
# 8    0x004d43f6: 'Mtirpc_Init + 0x78176'
# 9    0x004d3c0b: 'Mtirpc_Init + 0x7798b'
# 10   0x100583ea: 'TclServiceIdle + 0x4a'
# 11   0x1004b834: 'Tcl_DoOneEvent + 0x124'
# 12   0x1002bd68: 'Tcl_VwaitObjCmd + 0x78'
# 13   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
# 14   0x1002cad7: 'TclCompEvalObj + 0x637'
# 15   0x1002c590: 'TclCompEvalObj + 0xf0'
# 16   0x1005383a: 'TclObjInterpProc + 0x26a'
# 17   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
# 18   0x1000dce0: 'Tcl_EvalEx + 0x180'
# 19   0x1000e328: 'Tcl_EvalObjEx + 0x228'
# 20   0x10011ebc: 'Tcl_EvalObjCmd + 0x6c'
# 21   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
# 22   0x1002cad7: 'TclCompEvalObj + 0x637'
# 23   0x1002c590: 'TclCompEvalObj + 0xf0'
# 24   0x1005383a: 'TclObjInterpProc + 0x26a'
# 25   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
# 26   0x1000dce0: 'Tcl_EvalEx + 0x180'
# 27   0x1000e066: 'Tcl_Eval + 0x16'
# 28   0x1000f31c: 'Tcl_GlobalEval + 0x1c'
# 29   0x004670e7: 'Mtirpc_Init + 0xae67'
# 30   0x1000c74b: 'TclInvokeStringCommand + 0x6b'
# 31   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
# 32   0x1000dce0: 'Tcl_EvalEx + 0x180'
# 33   0x1000e066: 'Tcl_Eval + 0x16'
# 34   0x1000f31c: 'Tcl_GlobalEval + 0x1c'
# 35   0x00466ec2: 'Mtirpc_Init + 0xac42'
# 36   0x1000c74b: 'TclInvokeStringCommand + 0x6b'
# 37   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
# 38   0x1002cad7: 'TclCompEvalObj + 0x637'
# 39   0x1002c590: 'TclCompEvalObj + 0xf0'
# 40   0x1005383a: 'TclObjInterpProc + 0x26a'
# 41   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
# 42   0x1002cad7: 'TclCompEvalObj + 0x637'
# 43   0x1002c590: 'TclCompEvalObj + 0xf0'
# 44   0x1005383a: 'TclObjInterpProc + 0x26a'
# 45   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
# 46   0x1002cad7: 'TclCompEvalObj + 0x637'
# 47   0x1002c590: 'TclCompEvalObj + 0xf0'
# 48   0x1000e159: 'Tcl_EvalObjEx + 0x59'
# 49   0x10014334: 'Tcl_IfObjCmd + 0x194'
# 50   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
# 51   0x1000dce0: 'Tcl_EvalEx + 0x180'
# 52   0x100589a4: 'Tcl_AfterObjCmd + 0x574'
# 53   0x100583ea: 'TclServiceIdle + 0x4a'
# 54   0x1004b834: 'Tcl_DoOneEvent + 0x124'
# 55   0x10262446: 'Tk_MainLoop + 0x16'
# 56   0x0046aa34: 'Mtirpc_Init + 0xe7b4'
# 57   0x0046baf7: 'Mtirpc_Init + 0xf877'
# 58   0x005eec35: '<unknown (@0x5eec35)>'
# 59   0x7c81776f: '<unknown (@0x7c81776f)>'
StackWalk failed 487
# End of Stack Trace

# Current time Thu Sep 26 12:54:31 2013
# ModelSim Stack Trace
# Program = vish
# Id = "6.3g_p1"
# Version = "2008.08"
# Date = "Aug 13 2008"
# Platform = win32pe

Exception c0000005 has occurred at address 10229069. Traceback:
# 0    0x10229069: 'TkWinGetDrawableDC + 0x9'
# 1    0x10229173: 'XCopyArea + 0x23'
# 2    0x1028410f: 'Tk_PanedWindowObjCmd + 0x14af'
# 3    0x100583ea: 'TclServiceIdle + 0x4a'
# 4    0x1004b834: 'Tcl_DoOneEvent + 0x124'
# 5    0x10259184: 'Tk_UpdateObjCmd + 0x74'
# 6    0x1000d52b: 'TclEvalObjvInternal + 0x24b'
# 7    0x1000dce0: 'Tcl_EvalEx + 0x180'
# 8    0x1000e328: 'Tcl_EvalObjEx + 0x228'
# 9    0x10011ebc: 'Tcl_EvalObjCmd + 0x6c'
# 10   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
# 11   0x1002cad7: 'TclCompEvalObj + 0x637'
# 12   0x1002c590: 'TclCompEvalObj + 0xf0'
# 13   0x1005383a: 'TclObjInterpProc + 0x26a'
# 14   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
# 15   0x1002cad7: 'TclCompEvalObj + 0x637'
# 16   0x1002c590: 'TclCompEvalObj + 0xf0'
# 17   0x1005383a: 'TclObjInterpProc + 0x26a'
# 18   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
# 19   0x1002cad7: 'TclCompEvalObj + 0x637'
# 20   0x1002c590: 'TclCompEvalObj + 0xf0'
# 21   0x1000e159: 'Tcl_EvalObjEx + 0x59'
# 22   0x02b19390: 'Itcl_EvalMemberCode + 0x210'
# 23   0x04ebc110: '<unknown (@0x4ebc110)>'
StackWalk failed 126
# End of Stack Trace

# Current time Thu Sep 26 12:54:31 2013
# ModelSim Stack Trace
# Program = vish
# Id = "6.3g_p1"
# Version = "2008.08"
# Date = "Aug 13 2008"
# Platform = win32pe

Exception c0000005 has occurred at address 10229069. Traceback:
# 0    0x10229069: 'TkWinGetDrawableDC + 0x9'
# 1    0x10229173: 'XCopyArea + 0x23'
# 2    0x1028410f: 'Tk_PanedWindowObjCmd + 0x14af'
# 3    0x100583ea: 'TclServiceIdle + 0x4a'
# 4    0x1004b834: 'Tcl_DoOneEvent + 0x124'
# 5    0x1002bd68: 'Tcl_VwaitObjCmd + 0x78'
# 6    0x1000d52b: 'TclEvalObjvInternal + 0x24b'
# 7    0x1002cad7: 'TclCompEvalObj + 0x637'
# 8    0x1002c590: 'TclCompEvalObj + 0xf0'
# 9    0x1005383a: 'TclObjInterpProc + 0x26a'
# 10   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
# 11   0x1002cad7: 'TclCompEvalObj + 0x637'
# 12   0x1002c590: 'TclCompEvalObj + 0xf0'
# 13   0x1005383a: 'TclObjInterpProc + 0x26a'
# 14   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
# 15   0x1000dce0: 'Tcl_EvalEx + 0x180'
# 16   0x1000e066: 'Tcl_Eval + 0x16'
# 17   0x004675ea: 'Mtirpc_Init + 0xb36a'
# 18   0x0046a819: 'Mtirpc_Init + 0xe599'
# 19   0x0046a8e3: 'Mtirpc_Init + 0xe663'
# 20   0x0046bb1a: 'Mtirpc_Init + 0xf89a'
# 21   0x005eec35: '<unknown (@0x5eec35)>'
# 22   0x7c81776f: '<unknown (@0x7c81776f)>'
StackWalk failed 487
# End of Stack Trace

# Current time Thu Sep 26 12:54:31 2013
# ModelSim Stack Trace
# Program = vish
# Id = "6.3g_p1"
# Version = "2008.08"
# Date = "Aug 13 2008"
# Platform = win32pe

Exception c0000005 has occurred at address 10229069. Traceback:
# 0    0x10229069: 'TkWinGetDrawableDC + 0x9'
# 1    0x10229173: 'XCopyArea + 0x23'
# 2    0x1028410f: 'Tk_PanedWindowObjCmd + 0x14af'
# 3    0x100583ea: 'TclServiceIdle + 0x4a'
# 4    0x1004b834: 'Tcl_DoOneEvent + 0x124'
# 5    0x1002bd68: 'Tcl_VwaitObjCmd + 0x78'
# 6    0x1000d52b: 'TclEvalObjvInternal + 0x24b'
# 7    0x1002cad7: 'TclCompEvalObj + 0x637'
# 8    0x1002c590: 'TclCompEvalObj + 0xf0'
# 9    0x1005383a: 'TclObjInterpProc + 0x26a'
# 10   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
# 11   0x1002cad7: 'TclCompEvalObj + 0x637'
# 12   0x1002c590: 'TclCompEvalObj + 0xf0'
# 13   0x1005383a: 'TclObjInterpProc + 0x26a'
# 14   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
# 15   0x1000dce0: 'Tcl_EvalEx + 0x180'
# 16   0x1000e066: 'Tcl_Eval + 0x16'
# 17   0x004675ea: 'Mtirpc_Init + 0xb36a'
# 18   0x0046a819: 'Mtirpc_Init + 0xe599'
# 19   0x0046a8e3: 'Mtirpc_Init + 0xe663'
# 20   0x0046bb1a: 'Mtirpc_Init + 0xf89a'
# 21   0x005eec35: '<unknown (@0x5eec35)>'
# 22   0x7c81776f: '<unknown (@0x7c81776f)>'
StackWalk failed 487
# End of Stack Trace

# Current time Thu Sep 26 12:54:31 2013
# ModelSim Stack Trace
# Program = vish
# Id = "6.3g_p1"
# Version = "2008.08"
# Date = "Aug 13 2008"
# Platform = win32pe

Exception c0000005 has occurred at address 10229069. Traceback:
# 0    0x10229069: 'TkWinGetDrawableDC + 0x9'
# 1    0x10229173: 'XCopyArea + 0x23'
# 2    0x1028410f: 'Tk_PanedWindowObjCmd + 0x14af'
# 3    0x100583ea: 'TclServiceIdle + 0x4a'
# 4    0x1004b834: 'Tcl_DoOneEvent + 0x124'
# 5    0x1002bd68: 'Tcl_VwaitObjCmd + 0x78'
# 6    0x1000d52b: 'TclEvalObjvInternal + 0x24b'
# 7    0x1002cad7: 'TclCompEvalObj + 0x637'
# 8    0x1002c590: 'TclCompEvalObj + 0xf0'
# 9    0x1005383a: 'TclObjInterpProc + 0x26a'
# 10   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
# 11   0x1002cad7: 'TclCompEvalObj + 0x637'
# 12   0x1002c590: 'TclCompEvalObj + 0xf0'
# 13   0x1005383a: 'TclObjInterpProc + 0x26a'
# 14   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
# 15   0x1000dce0: 'Tcl_EvalEx + 0x180'
# 16   0x1000e066: 'Tcl_Eval + 0x16'
# 17   0x004675ea: 'Mtirpc_Init + 0xb36a'
# 18   0x0046a819: 'Mtirpc_Init + 0xe599'
# 19   0x0046a8e3: 'Mtirpc_Init + 0xe663'
# 20   0x0046bb1a: 'Mtirpc_Init + 0xf89a'
# 21   0x005eec35: '<unknown (@0x5eec35)>'
# 22   0x7c81776f: '<unknown (@0x7c81776f)>'
StackWalk failed 487
# End of Stack Trace

# Current time Thu Sep 26 12:54:51 2013
# ModelSim Stack Trace
# Program = vish
# Id = "6.3g_p1"
# Version = "2008.08"
# Date = "Aug 13 2008"
# Platform = win32pe

Exception c0000005 has occurred at address 10229069. Traceback:
# 0    0x10229069: 'TkWinGetDrawableDC + 0x9'
# 1    0x10229173: 'XCopyArea + 0x23'
# 2    0x1028410f: 'Tk_PanedWindowObjCmd + 0x14af'
# 3    0x100583ea: 'TclServiceIdle + 0x4a'
# 4    0x1004b834: 'Tcl_DoOneEvent + 0x124'
# 5    0x10259170: 'Tk_UpdateObjCmd + 0x60'
# 6    0x1000d52b: 'TclEvalObjvInternal + 0x24b'
# 7    0x1000dce0: 'Tcl_EvalEx + 0x180'
# 8    0x1000e328: 'Tcl_EvalObjEx + 0x228'
# 9    0x10011ebc: 'Tcl_EvalObjCmd + 0x6c'
# 10   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
# 11   0x1002cad7: 'TclCompEvalObj + 0x637'
# 12   0x1002c590: 'TclCompEvalObj + 0xf0'
# 13   0x1005383a: 'TclObjInterpProc + 0x26a'
# 14   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
# 15   0x1002cad7: 'TclCompEvalObj + 0x637'
# 16   0x1002c590: 'TclCompEvalObj + 0xf0'
# 17   0x1000e159: 'Tcl_EvalObjEx + 0x59'
# 18   0x02b19390: 'Itcl_EvalMemberCode + 0x210'
# 19   0x02ddd470: '<unknown (@0x2ddd470)>'
StackWalk failed 126
# End of Stack Trace

# Current time Thu Sep 26 12:55:32 2013
# ModelSim Stack Trace
# Program = vish
# Id = "6.3g_p1"
# Version = "2008.08"
# Date = "Aug 13 2008"
# Platform = win32pe

Exception c0000005 has occurred at address 10229069. Traceback:
# 0    0x10229069: 'TkWinGetDrawableDC + 0x9'
# 1    0x10229173: 'XCopyArea + 0x23'
# 2    0x1028410f: 'Tk_PanedWindowObjCmd + 0x14af'
# 3    0x100583ea: 'TclServiceIdle + 0x4a'
# 4    0x1004b834: 'Tcl_DoOneEvent + 0x124'
# 5    0x10259170: 'Tk_UpdateObjCmd + 0x60'
# 6    0x1000d52b: 'TclEvalObjvInternal + 0x24b'
# 7    0x1000dce0: 'Tcl_EvalEx + 0x180'
# 8    0x1000e328: 'Tcl_EvalObjEx + 0x228'
# 9    0x10011ebc: 'Tcl_EvalObjCmd + 0x6c'
# 10   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
# 11   0x1002cad7: 'TclCompEvalObj + 0x637'
# 12   0x1002c590: 'TclCompEvalObj + 0xf0'
# 13   0x1005383a: 'TclObjInterpProc + 0x26a'
# 14   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
# 15   0x1002cad7: 'TclCompEvalObj + 0x637'
# 16   0x1002c590: 'TclCompEvalObj + 0xf0'
# 17   0x1000e159: 'Tcl_EvalObjEx + 0x59'
# 18   0x02b19390: 'Itcl_EvalMemberCode + 0x210'
# 19   0x0246d470: '<unknown (@0x246d470)>'
StackWalk failed 126
# End of Stack Trace

# Current time Fri Sep 27 07:37:47 2013
# ModelSim Stack Trace
# Program = vish
# Id = "6.3g_p1"
# Version = "2008.08"
# Date = "Aug 13 2008"
# Platform = win32pe

Exception c0000005 has occurred at address 10229069. Traceback:
# 0    0x10229069: 'TkWinGetDrawableDC + 0x9'
# 1    0x10229173: 'XCopyArea + 0x23'
# 2    0x1028410f: 'Tk_PanedWindowObjCmd + 0x14af'
# 3    0x100583ea: 'TclServiceIdle + 0x4a'
# 4    0x1004b834: 'Tcl_DoOneEvent + 0x124'
# 5    0x10262446: 'Tk_MainLoop + 0x16'
# 6    0x0046aa34: 'Mtirpc_Init + 0xe7b4'
# 7    0x0046baf7: 'Mtirpc_Init + 0xf877'
# 8    0x005eec35: '<unknown (@0x5eec35)>'
# 9    0x7c81776f: '<unknown (@0x7c81776f)>'
StackWalk failed 487
# End of Stack Trace

# Current time Fri Sep 27 07:37:48 2013
# ModelSim Stack Trace
# Program = vish
# Id = "6.3g_p1"
# Version = "2008.08"
# Date = "Aug 13 2008"
# Platform = win32pe

Exception c0000005 has occurred at address 10229069. Traceback:
# 0    0x10229069: 'TkWinGetDrawableDC + 0x9'
# 1    0x10229173: 'XCopyArea + 0x23'
# 2    0x1028410f: 'Tk_PanedWindowObjCmd + 0x14af'
# 3    0x100583ea: 'TclServiceIdle + 0x4a'
# 4    0x1004b834: 'Tcl_DoOneEvent + 0x124'
# 5    0x1002bd68: 'Tcl_VwaitObjCmd + 0x78'
# 6    0x1000d52b: 'TclEvalObjvInternal + 0x24b'
# 7    0x1002cad7: 'TclCompEvalObj + 0x637'
# 8    0x1002c590: 'TclCompEvalObj + 0xf0'
# 9    0x1005383a: 'TclObjInterpProc + 0x26a'
# 10   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
# 11   0x1002cad7: 'TclCompEvalObj + 0x637'
# 12   0x1002c590: 'TclCompEvalObj + 0xf0'
# 13   0x1005383a: 'TclObjInterpProc + 0x26a'
# 14   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
# 15   0x1000dce0: 'Tcl_EvalEx + 0x180'
# 16   0x1000e066: 'Tcl_Eval + 0x16'
# 17   0x004675ea: 'Mtirpc_Init + 0xb36a'
# 18   0x0046a819: 'Mtirpc_Init + 0xe599'
# 19   0x0046a8e3: 'Mtirpc_Init + 0xe663'
# 20   0x0046bb1a: 'Mtirpc_Init + 0xf89a'
# 21   0x005eec35: '<unknown (@0x5eec35)>'
# 22   0x7c81776f: '<unknown (@0x7c81776f)>'
StackWalk failed 487
# End of Stack Trace

# Current time Fri Sep 27 07:37:48 2013
# ModelSim Stack Trace
# Program = vish
# Id = "6.3g_p1"
# Version = "2008.08"
# Date = "Aug 13 2008"
# Platform = win32pe

Exception c0000005 has occurred at address 10229069. Traceback:
# 0    0x10229069: 'TkWinGetDrawableDC + 0x9'
# 1    0x10229173: 'XCopyArea + 0x23'
# 2    0x1028410f: 'Tk_PanedWindowObjCmd + 0x14af'
# 3    0x100583ea: 'TclServiceIdle + 0x4a'
# 4    0x1004b834: 'Tcl_DoOneEvent + 0x124'
# 5    0x1002bd68: 'Tcl_VwaitObjCmd + 0x78'
# 6    0x1000d52b: 'TclEvalObjvInternal + 0x24b'
# 7    0x1002cad7: 'TclCompEvalObj + 0x637'
# 8    0x1002c590: 'TclCompEvalObj + 0xf0'
# 9    0x1005383a: 'TclObjInterpProc + 0x26a'
# 10   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
# 11   0x1002cad7: 'TclCompEvalObj + 0x637'
# 12   0x1002c590: 'TclCompEvalObj + 0xf0'
# 13   0x1005383a: 'TclObjInterpProc + 0x26a'
# 14   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
# 15   0x1000dce0: 'Tcl_EvalEx + 0x180'
# 16   0x1000e066: 'Tcl_Eval + 0x16'
# 17   0x004675ea: 'Mtirpc_Init + 0xb36a'
# 18   0x0046a819: 'Mtirpc_Init + 0xe599'
# 19   0x0046a8e3: 'Mtirpc_Init + 0xe663'
# 20   0x0046bb1a: 'Mtirpc_Init + 0xf89a'
# 21   0x005eec35: '<unknown (@0x5eec35)>'
# 22   0x7c81776f: '<unknown (@0x7c81776f)>'
StackWalk failed 487
# End of Stack Trace

# Current time Fri Sep 27 07:37:48 2013
# ModelSim Stack Trace
# Program = vish
# Id = "6.3g_p1"
# Version = "2008.08"
# Date = "Aug 13 2008"
# Platform = win32pe

Exception c0000005 has occurred at address 10229069. Traceback:
# 0    0x10229069: 'TkWinGetDrawableDC + 0x9'
# 1    0x10229173: 'XCopyArea + 0x23'
# 2    0x1028410f: 'Tk_PanedWindowObjCmd + 0x14af'
# 3    0x100583ea: 'TclServiceIdle + 0x4a'
# 4    0x1004b834: 'Tcl_DoOneEvent + 0x124'
# 5    0x1002bd68: 'Tcl_VwaitObjCmd + 0x78'
# 6    0x1000d52b: 'TclEvalObjvInternal + 0x24b'
# 7    0x1002cad7: 'TclCompEvalObj + 0x637'
# 8    0x1002c590: 'TclCompEvalObj + 0xf0'
# 9    0x1005383a: 'TclObjInterpProc + 0x26a'
# 10   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
# 11   0x1002cad7: 'TclCompEvalObj + 0x637'
# 12   0x1002c590: 'TclCompEvalObj + 0xf0'
# 13   0x1005383a: 'TclObjInterpProc + 0x26a'
# 14   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
# 15   0x1000dce0: 'Tcl_EvalEx + 0x180'
# 16   0x1000e066: 'Tcl_Eval + 0x16'
# 17   0x004675ea: 'Mtirpc_Init + 0xb36a'
# 18   0x0046a819: 'Mtirpc_Init + 0xe599'
# 19   0x0046a8e3: 'Mtirpc_Init + 0xe663'
# 20   0x0046bb1a: 'Mtirpc_Init + 0xf89a'
# 21   0x005eec35: '<unknown (@0x5eec35)>'
# 22   0x7c81776f: '<unknown (@0x7c81776f)>'
StackWalk failed 487
# End of Stack Trace

# Current time Fri Sep 27 07:38:10 2013
# ModelSim Stack Trace
# Program = vish
# Id = "6.3g_p1"
# Version = "2008.08"
# Date = "Aug 13 2008"
# Platform = win32pe

Exception c0000005 has occurred at address 10229069. Traceback:
# 0    0x10229069: 'TkWinGetDrawableDC + 0x9'
# 1    0x10229173: 'XCopyArea + 0x23'
# 2    0x1028410f: 'Tk_PanedWindowObjCmd + 0x14af'
# 3    0x100583ea: 'TclServiceIdle + 0x4a'
# 4    0x1004b834: 'Tcl_DoOneEvent + 0x124'
# 5    0x10259170: 'Tk_UpdateObjCmd + 0x60'
# 6    0x1000d52b: 'TclEvalObjvInternal + 0x24b'
# 7    0x1000dce0: 'Tcl_EvalEx + 0x180'
# 8    0x1000e328: 'Tcl_EvalObjEx + 0x228'
# 9    0x10011ebc: 'Tcl_EvalObjCmd + 0x6c'
# 10   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
# 11   0x1002cad7: 'TclCompEvalObj + 0x637'
# 12   0x1002c590: 'TclCompEvalObj + 0xf0'
# 13   0x1005383a: 'TclObjInterpProc + 0x26a'
# 14   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
# 15   0x1002cad7: 'TclCompEvalObj + 0x637'
# 16   0x1002c590: 'TclCompEvalObj + 0xf0'
# 17   0x1000e159: 'Tcl_EvalObjEx + 0x59'
# 18   0x02709390: 'Itcl_EvalMemberCode + 0x210'
# 19   0x02479e90: '<unknown (@0x2479e90)>'
StackWalk failed 126
# End of Stack Trace

# Current time Mon Oct 14 08:20:51 2013
# ModelSim Stack Trace
# Program = vish
# Id = "6.3g_p1"
# Version = "2008.08"
# Date = "Aug 13 2008"
# Platform = win32pe

Exception c0000005 has occurred at address 10229069. Traceback:
# 0    0x10229069: 'TkWinGetDrawableDC + 0x9'
# 1    0x10229173: 'XCopyArea + 0x23'
# 2    0x1028410f: 'Tk_PanedWindowObjCmd + 0x14af'
# 3    0x100583ea: 'TclServiceIdle + 0x4a'
# 4    0x1004b834: 'Tcl_DoOneEvent + 0x124'
# 5    0x10262446: 'Tk_MainLoop + 0x16'
# 6    0x0046aa34: 'Mtirpc_Init + 0xe7b4'
# 7    0x0046baf7: 'Mtirpc_Init + 0xf877'
# 8    0x005eec35: '<unknown (@0x5eec35)>'
# 9    0x7c81776f: '<unknown (@0x7c81776f)>'
StackWalk failed 487
# End of Stack Trace

# Current time Tue Oct 15 13:56:42 2013
# ModelSim Stack Trace
# Program = vish
# Id = "6.3g_p1"
# Version = "2008.08"
# Date = "Aug 13 2008"
# Platform = win32pe

Exception c0000005 has occurred at address 10229069. Traceback:
# 0    0x10229069: 'TkWinGetDrawableDC + 0x9'
# 1    0x10229173: 'XCopyArea + 0x23'
# 2    0x1028410f: 'Tk_PanedWindowObjCmd + 0x14af'
# 3    0x100583ea: 'TclServiceIdle + 0x4a'
# 4    0x1004b834: 'Tcl_DoOneEvent + 0x124'
# 5    0x10259184: 'Tk_UpdateObjCmd + 0x74'
# 6    0x1000d52b: 'TclEvalObjvInternal + 0x24b'
# 7    0x1000dce0: 'Tcl_EvalEx + 0x180'
# 8    0x1000e328: 'Tcl_EvalObjEx + 0x228'
# 9    0x10011ebc: 'Tcl_EvalObjCmd + 0x6c'
# 10   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
# 11   0x1002cad7: 'TclCompEvalObj + 0x637'
# 12   0x1002c590: 'TclCompEvalObj + 0xf0'
# 13   0x1005383a: 'TclObjInterpProc + 0x26a'
# 14   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
# 15   0x1002cad7: 'TclCompEvalObj + 0x637'
# 16   0x1002c590: 'TclCompEvalObj + 0xf0'
# 17   0x1005383a: 'TclObjInterpProc + 0x26a'
# 18   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
# 19   0x1002cad7: 'TclCompEvalObj + 0x637'
# 20   0x1002c590: 'TclCompEvalObj + 0xf0'
# 21   0x1000e159: 'Tcl_EvalObjEx + 0x59'
# 22   0x02b09390: 'Itcl_EvalMemberCode + 0x210'
# 23   0x04f50a30: '<unknown (@0x4f50a30)>'
StackWalk failed 126
# End of Stack Trace

# Current time Thu Nov 21 12:25:34 2013
# ModelSim Stack Trace
# Program = vish
# Id = "6.3g_p1"
# Version = "2008.08"
# Date = "Aug 13 2008"
# Platform = win32pe

Exception c0000005 has occurred at address 10229069. Traceback:
# 0    0x10229069: 'TkWinGetDrawableDC + 0x9'
# 1    0x10229173: 'XCopyArea + 0x23'
# 2    0x1028410f: 'Tk_PanedWindowObjCmd + 0x14af'
# 3    0x100583ea: 'TclServiceIdle + 0x4a'
# 4    0x1004b834: 'Tcl_DoOneEvent + 0x124'
# 5    0x10262446: 'Tk_MainLoop + 0x16'
# 6    0x0046aa34: 'Mtirpc_Init + 0xe7b4'
# 7    0x0046baf7: 'Mtirpc_Init + 0xf877'
# 8    0x005eec35: '<unknown (@0x5eec35)>'
# 9    0x7c81776f: '<unknown (@0x7c81776f)>'
StackWalk failed 487
# End of Stack Trace

# Current time Thu Nov 21 12:26:45 2013
# ModelSim Stack Trace
# Program = vish
# Id = "6.3g_p1"
# Version = "2008.08"
# Date = "Aug 13 2008"
# Platform = win32pe

Exception c0000005 has occurred at address 10229069. Traceback:
# 0    0x10229069: 'TkWinGetDrawableDC + 0x9'
# 1    0x10229173: 'XCopyArea + 0x23'
# 2    0x1028410f: 'Tk_PanedWindowObjCmd + 0x14af'
# 3    0x100583ea: 'TclServiceIdle + 0x4a'
# 4    0x1004b834: 'Tcl_DoOneEvent + 0x124'
# 5    0x10259170: 'Tk_UpdateObjCmd + 0x60'
# 6    0x1000d52b: 'TclEvalObjvInternal + 0x24b'
# 7    0x1000dce0: 'Tcl_EvalEx + 0x180'
# 8    0x1000e328: 'Tcl_EvalObjEx + 0x228'
# 9    0x10011ebc: 'Tcl_EvalObjCmd + 0x6c'
# 10   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
# 11   0x1002cad7: 'TclCompEvalObj + 0x637'
# 12   0x1002c590: 'TclCompEvalObj + 0xf0'
# 13   0x1005383a: 'TclObjInterpProc + 0x26a'
# 14   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
# 15   0x1002cad7: 'TclCompEvalObj + 0x637'
# 16   0x1002c590: 'TclCompEvalObj + 0xf0'
# 17   0x1000e159: 'Tcl_EvalObjEx + 0x59'
# 18   0x02709390: 'Itcl_EvalMemberCode + 0x210'
# 19   0x0246d470: '<unknown (@0x246d470)>'
StackWalk failed 126
# End of Stack Trace

# Current time Thu Nov 21 12:27:35 2013
# ModelSim Stack Trace
# Program = vish
# Id = "6.3g_p1"
# Version = "2008.08"
# Date = "Aug 13 2008"
# Platform = win32pe

Exception c0000005 has occurred at address 10229069. Traceback:
# 0    0x10229069: 'TkWinGetDrawableDC + 0x9'
# 1    0x10229173: 'XCopyArea + 0x23'
# 2    0x1028410f: 'Tk_PanedWindowObjCmd + 0x14af'
# 3    0x100583ea: 'TclServiceIdle + 0x4a'
# 4    0x1004b834: 'Tcl_DoOneEvent + 0x124'
# 5    0x10259170: 'Tk_UpdateObjCmd + 0x60'
# 6    0x1000d52b: 'TclEvalObjvInternal + 0x24b'
# 7    0x1000dce0: 'Tcl_EvalEx + 0x180'
# 8    0x1000e328: 'Tcl_EvalObjEx + 0x228'
# 9    0x10011ebc: 'Tcl_EvalObjCmd + 0x6c'
# 10   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
# 11   0x1002cad7: 'TclCompEvalObj + 0x637'
# 12   0x1002c590: 'TclCompEvalObj + 0xf0'
# 13   0x1005383a: 'TclObjInterpProc + 0x26a'
# 14   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
# 15   0x1002cad7: 'TclCompEvalObj + 0x637'
# 16   0x1002c590: 'TclCompEvalObj + 0xf0'
# 17   0x1000e159: 'Tcl_EvalObjEx + 0x59'
# 18   0x02709390: 'Itcl_EvalMemberCode + 0x210'
# 19   0x02ddd470: '<unknown (@0x2ddd470)>'
StackWalk failed 126
# End of Stack Trace

# Current time Mon Nov 25 12:31:11 2013
# ModelSim Stack Trace
# Program = vish
# Id = "6.3g_p1"
# Version = "2008.08"
# Date = "Aug 13 2008"
# Platform = win32pe

Exception c0000005 has occurred at address 10229069. Traceback:
# 0    0x10229069: 'TkWinGetDrawableDC + 0x9'
# 1    0x10229173: 'XCopyArea + 0x23'
# 2    0x1028410f: 'Tk_PanedWindowObjCmd + 0x14af'
# 3    0x100583ea: 'TclServiceIdle + 0x4a'
# 4    0x1004b834: 'Tcl_DoOneEvent + 0x124'
# 5    0x10262446: 'Tk_MainLoop + 0x16'
# 6    0x0046aa34: 'Mtirpc_Init + 0xe7b4'
# 7    0x0046baf7: 'Mtirpc_Init + 0xf877'
# 8    0x005eec35: '<unknown (@0x5eec35)>'
# 9    0x7c81776f: '<unknown (@0x7c81776f)>'
StackWalk failed 487
# End of Stack Trace

# Current time Mon Nov 25 12:31:17 2013
# ModelSim Stack Trace
# Program = vish
# Id = "6.3g_p1"
# Version = "2008.08"
# Date = "Aug 13 2008"
# Platform = win32pe

Exception c0000005 has occurred at address 10229069. Traceback:
# 0    0x10229069: 'TkWinGetDrawableDC + 0x9'
# 1    0x10229173: 'XCopyArea + 0x23'
# 2    0x1028410f: 'Tk_PanedWindowObjCmd + 0x14af'
# 3    0x100583ea: 'TclServiceIdle + 0x4a'
# 4    0x1004b834: 'Tcl_DoOneEvent + 0x124'
# 5    0x1002bd68: 'Tcl_VwaitObjCmd + 0x78'
# 6    0x1000d52b: 'TclEvalObjvInternal + 0x24b'
# 7    0x1002cad7: 'TclCompEvalObj + 0x637'
# 8    0x1002c590: 'TclCompEvalObj + 0xf0'
# 9    0x1005383a: 'TclObjInterpProc + 0x26a'
# 10   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
# 11   0x1002cad7: 'TclCompEvalObj + 0x637'
# 12   0x1002c590: 'TclCompEvalObj + 0xf0'
# 13   0x1005383a: 'TclObjInterpProc + 0x26a'
# 14   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
# 15   0x1000dce0: 'Tcl_EvalEx + 0x180'
# 16   0x1000e066: 'Tcl_Eval + 0x16'
# 17   0x004675ea: 'Mtirpc_Init + 0xb36a'
# 18   0x0046a819: 'Mtirpc_Init + 0xe599'
# 19   0x0046a8e3: 'Mtirpc_Init + 0xe663'
# 20   0x0046bb1a: 'Mtirpc_Init + 0xf89a'
# 21   0x005eec35: '<unknown (@0x5eec35)>'
# 22   0x7c81776f: '<unknown (@0x7c81776f)>'
StackWalk failed 487
# End of Stack Trace

# Current time Mon Nov 25 12:31:19 2013
# ModelSim Stack Trace
# Program = vish
# Id = "6.3g_p1"
# Version = "2008.08"
# Date = "Aug 13 2008"
# Platform = win32pe

Exception c0000005 has occurred at address 10229069. Traceback:
# 0    0x10229069: 'TkWinGetDrawableDC + 0x9'
# 1    0x10229173: 'XCopyArea + 0x23'
# 2    0x1028410f: 'Tk_PanedWindowObjCmd + 0x14af'
# 3    0x100583ea: 'TclServiceIdle + 0x4a'
# 4    0x1004b834: 'Tcl_DoOneEvent + 0x124'
# 5    0x1002bd68: 'Tcl_VwaitObjCmd + 0x78'
# 6    0x1000d52b: 'TclEvalObjvInternal + 0x24b'
# 7    0x1002cad7: 'TclCompEvalObj + 0x637'
# 8    0x1002c590: 'TclCompEvalObj + 0xf0'
# 9    0x1005383a: 'TclObjInterpProc + 0x26a'
# 10   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
# 11   0x1002cad7: 'TclCompEvalObj + 0x637'
# 12   0x1002c590: 'TclCompEvalObj + 0xf0'
# 13   0x1005383a: 'TclObjInterpProc + 0x26a'
# 14   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
# 15   0x1000dce0: 'Tcl_EvalEx + 0x180'
# 16   0x1000e066: 'Tcl_Eval + 0x16'
# 17   0x004675ea: 'Mtirpc_Init + 0xb36a'
# 18   0x0046a819: 'Mtirpc_Init + 0xe599'
# 19   0x0046a8e3: 'Mtirpc_Init + 0xe663'
# 20   0x0046bb1a: 'Mtirpc_Init + 0xf89a'
# 21   0x005eec35: '<unknown (@0x5eec35)>'
# 22   0x7c81776f: '<unknown (@0x7c81776f)>'
StackWalk failed 487
# End of Stack Trace

# Current time Mon Nov 25 12:31:20 2013
# ModelSim Stack Trace
# Program = vish
# Id = "6.3g_p1"
# Version = "2008.08"
# Date = "Aug 13 2008"
# Platform = win32pe

Exception c0000005 has occurred at address 10229069. Traceback:
# 0    0x10229069: 'TkWinGetDrawableDC + 0x9'
# 1    0x10229173: 'XCopyArea + 0x23'
# 2    0x1028410f: 'Tk_PanedWindowObjCmd + 0x14af'
# 3    0x100583ea: 'TclServiceIdle + 0x4a'
# 4    0x1004b834: 'Tcl_DoOneEvent + 0x124'
# 5    0x1002bd68: 'Tcl_VwaitObjCmd + 0x78'
# 6    0x1000d52b: 'TclEvalObjvInternal + 0x24b'
# 7    0x1002cad7: 'TclCompEvalObj + 0x637'
# 8    0x1002c590: 'TclCompEvalObj + 0xf0'
# 9    0x1005383a: 'TclObjInterpProc + 0x26a'
# 10   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
# 11   0x1002cad7: 'TclCompEvalObj + 0x637'
# 12   0x1002c590: 'TclCompEvalObj + 0xf0'
# 13   0x1005383a: 'TclObjInterpProc + 0x26a'
# 14   0x1000d52b: 'TclEvalObjvInternal + 0x24b'
# 15   0x1000dce0: 'Tcl_EvalEx + 0x180'
# 16   0x1000e066: 'Tcl_Eval + 0x16'
# 17   0x004675ea: 'Mtirpc_Init + 0xb36a'
# 18   0x0046a819: 'Mtirpc_Init + 0xe599'
# 19   0x0046a8e3: 'Mtirpc_Init + 0xe663'
# 20   0x0046bb1a: 'Mtirpc_Init + 0xf89a'
# 21   0x005eec35: '<unknown (@0x5eec35)>'
# 22   0x7c81776f: '<unknown (@0x7c81776f)>'
StackWalk failed 487
# End of Stack Trace

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.