OpenCores
URL https://opencores.org/ocsvn/fp_log/fp_log/trunk

Subversion Repositories fp_log

[/] [fp_log/] [trunk/] [LAU/] [COE Files/] [mantissa LUTs/] [ICSILog v2 mantissa LUT 2048/] [ICSILog_v2_2048/] [mant_LUT.coe] - Rev 2

Compare with Previous | Blame | View Log

MEMORY_INITIALIZATION_RADIX=2;
MEMORY_INITIALIZATION_VECTOR=
001011111111111100000000000,
010001111111110111000000010,
010100111111110011100000101,
010110111111100111100001110,
011000011111101011110001111,
011001011111100001110011100,
011010011111010101110101110,
011011011111000111111000110,
011100001111011011111110011,
011100101111010011000000111,
011101001111001001000100000,
011101101110111110000111110,
011110001110110010001100010,
011110101110100101010001100,
011111001110010111010111101,
011111101110001000011110101,
100000000110111100010011010,
100000010110110011110111110,
100000100110101010111100110,
100000110110100001100010011,
100001000110010111101000100,
100001010110001101001111011,
100001100110000010010110111,
100001110101110110111111000,
100010000101101011000111111,
100010010101011110110001100,
100010100101010001111100000,
100010110101000100100111010,
100011000100110110110011011,
100011010100101000100000010,
100011100100011001101110001,
100011110100001010011100111,
100100000001111101010110011,
100100001001110101001110110,
100100010001101100110111101,
100100011001100100010001000,
100100100001011011011011000,
100100101001010010010101100,
100100110001001001000000100,
100100111000111111011100010,
100101000000110101101000100,
100101001000101011100101011,
100101010000100001010010111,
100101011000010110110001000,
100101100000001011111111110,
100101101000000000111111010,
100101101111110101101111100,
100101110111101010010000011,
100101111111011110100001111,
100110000111010010100100010,
100110001111000110010111010,
100110010110111001111011001,
100110011110101101001111110,
100110100110100000010101001,
100110101110010011001011011,
100110110110000101110010011,
100110111101111000001010001,
100111000101101010010010111,
100111001101011100001100100,
100111010101001101110110111,
100111011100111111010010010,
100111100100110000011110011,
100111101100100001011011101,
100111110100010010001001101,
100111111100000010101000110,
101000000001111001011100011,
101000000101110001011100111,
101000001001101001010101110,
101000001101100001000111010,
101000010001011000110001010,
101000010101010000010011110,
101000011001000111101110111,
101000011100111111000010011,
101000100000110110001110100,
101000100100101101010011001,
101000101000100100010000011,
101000101100011011000110001,
101000110000010001110100011,
101000110100001000011011010,
101000110111111110111010110,
101000111011110101010010111,
101000111111101011100011100,
101001000011100001101100110,
101001000111010111101110101,
101001001011001101101001001,
101001001111000011011100001,
101001010010111001000111111,
101001010110101110101100010,
101001011010100100001001010,
101001011110011001011110111,
101001100010001110101101010,
101001100110000011110100010,
101001101001111000110011111,
101001101101101101101100001,
101001110001100010011101001,
101001110101010111000110111,
101001111001001011101001010,
101001111101000000000100011,
101010000000110100011000001,
101010000100101000100100101,
101010001000011100101001111,
101010001100010000100111111,
101010010000000100011110101,
101010010011111000001110000,
101010010111101011110110010,
101010011011011111010111010,
101010011111010010110001000,
101010100011000110000011100,
101010100110111001001110110,
101010101010101100010010110,
101010101110011111001111101,
101010110010010010000101010,
101010110110000100110011110,
101010111001110111011011000,
101010111101101001111011001,
101011000001011100010100000,
101011000101001110100101110,
101011001001000000110000011,
101011001100110010110011110,
101011010000100100110000001,
101011010100010110100101010,
101011011000001000010011010,
101011011011111001111010001,
101011011111101011011001111,
101011100011011100110010100,
101011100111001110000100000,
101011101010111111001110011,
101011101110110000010001110,
101011110010100001001110000,
101011110110010010000011001,
101011111010000010110001010,
101011111101110011011000010,
101100000000110001111100001,
101100000010101010001000100,
101100000100100010010001100,
101100000110011010010110111,
101100001000010010011000110,
101100001010001010010111001,
101100001100000010010001111,
101100001101111010001001010,
101100001111110001111101001,
101100010001101001101101011,
101100010011100001011010010,
101100010101011001000011101,
101100010111010000101001011,
101100011001001000001011110,
101100011010111111101010101,
101100011100110111000110000,
101100011110101110011101111,
101100100000100101110010011,
101100100010011101000011010,
101100100100010100010000110,
101100100110001011011010110,
101100101000000010100001010,
101100101001111001100100011,
101100101011110000100100000,
101100101101100111100000001,
101100101111011110011000111,
101100110001010101001110001,
101100110011001100000000000,
101100110101000010101110011,
101100110110111001011001010,
101100111000110000000000110,
101100111010100110100100111,
101100111100011101000101100,
101100111110010011100010110,
101101000000001001111100100,
101101000010000000010010111,
101101000011110110100101110,
101101000101101100110101011,
101101000111100011000001100,
101101001001011001001010001,
101101001011001111001111100,
101101001101000101010001011,
101101001110111011001111111,
101101010000110001001011000,
101101010010100111000010101,
101101010100011100110111000,
101101010110010010100111111,
101101011000001000010101100,
101101011001111101111111101,
101101011011110011100110100,
101101011101101001001001111,
101101011111011110101001111,
101101100001010100000110101,
101101100011001001011111111,
101101100100111110110101111,
101101100110110100001000011,
101101101000101001010111101,
101101101010011110100011100,
101101101100010011101100000,
101101101110001000110001010,
101101101111111101110011000,
101101110001110010110001100,
101101110011100111101100101,
101101110101011100100100100,
101101110111010001011001000,
101101111001000110001010001,
101101111010111010110111111,
101101111100101111100010011,
101101111110100100001001101,
101110000000011000101101100,
101110000010001101001110000,
101110000100000001101011010,
101110000101110110000101001,
101110000111101010011011110,
101110001001011110101111000,
101110001011010010111111001,
101110001101000111001011110,
101110001110111011010101010,
101110010000101111011011010,
101110010010100011011110001,
101110010100010111011101110,
101110010110001011011010000,
101110010111111111010011000,
101110011001110011001000101,
101110011011100110111011001,
101110011101011010101010010,
101110011111001110010110001,
101110100001000001111110110,
101110100010110101100100001,
101110100100101001000110010,
101110100110011100100101000,
101110101000010000000000101,
101110101010000011011001000,
101110101011110110101110001,
101110101101101001111111111,
101110101111011101001110100,
101110110001010000011001111,
101110110011000011100010000,
101110110100110110100110111,
101110110110101001101000100,
101110111000011100100111000,
101110111010001111100010010,
101110111100000010011010001,
101110111101110101001110111,
101110111111101000000000100,
101111000001011010101110110,
101111000011001101011001111,
101111000101000000000001110,
101111000110110010100110100,
101111001000100101001000000,
101111001010010111100110010,
101111001100001010000001011,
101111001101111100011001010,
101111001111101110101110000,
101111010001100000111111100,
101111010011010011001101111,
101111010101000101011001000,
101111010110110111100001000,
101111011000101001100101110,
101111011010011011100111011,
101111011100001101100101111,
101111011101111111100001001,
101111011111110001011001010,
101111100001100011001110001,
101111100011010100111111111,
101111100101000110101110100,
101111100110111000011010000,
101111101000101010000010010,
101111101010011011100111100,
101111101100001101001001100,
101111101101111110101000011,
101111101111110000000100000,
101111110001100001011100101,
101111110011010010110010001,
101111110101000100000100011,
101111110110110101010011101,
101111111000100110011111101,
101111111010010111101000100,
101111111100001000101110011,
101111111101111001110001000,
101111111111101010110000101,
110000000000101101110110100,
110000000001100110010011001,
110000000010011110101110010,
110000000011010111000111111,
110000000100001111011111111,
110000000101000111110110010,
110000000110000000001011010,
110000000110111000011110100,
110000000111110000110000011,
110000001000101001000000101,
110000001001100001001111011,
110000001010011001011100100,
110000001011010001101000001,
110000001100001001110010010,
110000001101000001111010110,
110000001101111010000001110,
110000001110110010000111010,
110000001111101010001011010,
110000010000100010001101101,
110000010001011010001110100,
110000010010010010001101111,
110000010011001010001011101,
110000010100000010001000000,
110000010100111010000010110,
110000010101110001111100000,
110000010110101001110011101,
110000010111100001101001111,
110000011000011001011110100,
110000011001010001010001101,
110000011010001001000011010,
110000011011000000110011011,
110000011011111000100010000,
110000011100110000001111000,
110000011101100111111010100,
110000011110011111100100101,
110000011111010111001101001,
110000100000001110110100001,
110000100001000110011001101,
110000100001111101111101101,
110000100010110101100000001,
110000100011101101000001001,
110000100100100100100000101,
110000100101011011111110101,
110000100110010011011011001,
110000100111001010110110001,
110000101000000010001111100,
110000101000111001100111100,
110000101001110000111110000,
110000101010101000010011000,
110000101011011111100110100,
110000101100010110111000100,
110000101101001110001001000,
110000101110000101011000000,
110000101110111100100101101,
110000101111110011110001101,
110000110000101010111100010,
110000110001100010000101010,
110000110010011001001100111,
110000110011010000010011000,
110000110100000111010111101,
110000110100111110011010110,
110000110101110101011100011,
110000110110101100011100101,
110000110111100011011011010,
110000111000011010011000100,
110000111001010001010100010,
110000111010001000001110101,
110000111010111111000111011,
110000111011110101111110110,
110000111100101100110100101,
110000111101100011101001000,
110000111110011010011100000,
110000111111010001001101100,
110001000000000111111101100,
110001000000111110101100000,
110001000001110101011001001,
110001000010101100000100110,
110001000011100010101110111,
110001000100011001010111101,
110001000101001111111110111,
110001000110000110100100101,
110001000110111101001001000,
110001000111110011101011111,
110001001000101010001101011,
110001001001100000101101011,
110001001010010111001011111,
110001001011001101101001000,
110001001100000100000100101,
110001001100111010011110110,
110001001101110000110111100,
110001001110100111001110111,
110001001111011101100100110,
110001010000010011111001001,
110001010001001010001100001,
110001010010000000011101101,
110001010010110110101101110,
110001010011101100111100011,
110001010100100011001001101,
110001010101011001010101100,
110001010110001111011111111,
110001010111000101101000110,
110001010111111011110000010,
110001011000110001110110011,
110001011001100111111011000,
110001011010011101111110010,
110001011011010100000000000,
110001011100001010000000011,
110001011100111111111111011,
110001011101110101111100111,
110001011110101011111001000,
110001011111100001110011101,
110001100000010111101100111,
110001100001001101100100110,
110001100010000011011011001,
110001100010111001010000001,
110001100011101111000011110,
110001100100100100110110000,
110001100101011010100110110,
110001100110010000010110001,
110001100111000110000100000,
110001100111111011110000101,
110001101000110001011011110,
110001101001100111000101100,
110001101010011100101101110,
110001101011010010010100110,
110001101100000111111010010,
110001101100111101011110011,
110001101101110011000001001,
110001101110101000100010011,
110001101111011110000010011,
110001110000010011100000111,
110001110001001000111110000,
110001110001111110011001110,
110001110010110011110100000,
110001110011101001001101000,
110001110100011110100100101,
110001110101010011111010110,
110001110110001001001111100,
110001110110111110100010111,
110001110111110011110100111,
110001111000101001000101100,
110001111001011110010100110,
110001111010010011100010101,
110001111011001000101111000,
110001111011111101111010001,
110001111100110011000011111,
110001111101101000001100010,
110001111110011101010011001,
110001111111010010011000110,
110010000000000111011100111,
110010000000111100011111110,
110010000001110001100001010,
110010000010100110100001010,
110010000011011011100000000,
110010000100010000011101010,
110010000101000101011001010,
110010000101111010010011111,
110010000110101111001101001,
110010000111100100000101000,
110010001000011000111011100,
110010001001001101110000101,
110010001010000010100100011,
110010001010110111010110110,
110010001011101100000111111,
110010001100100000110111101,
110010001101010101100101111,
110010001110001010010010111,
110010001110111110111110100,
110010001111110011101000110,
110010010000101000010001110,
110010010001011100111001010,
110010010010010001011111100,
110010010011000110000100011,
110010010011111010100111111,
110010010100101111001010000,
110010010101100011101010111,
110010010110011000001010011,
110010010111001100101000100,
110010011000000001000101010,
110010011000110101100000110,
110010011001101001111010110,
110010011010011110010011100,
110010011011010010101011000,
110010011100000111000001000,
110010011100111011010101110,
110010011101101111101001010,
110010011110100011111011010,
110010011111011000001100000,
110010100000001100011011100,
110010100001000000101001100,
110010100001110100110110010,
110010100010101001000001101,
110010100011011101001011110,
110010100100010001010100100,
110010100101000101011100000,
110010100101111001100010000,
110010100110101101100110111,
110010100111100001101010010,
110010101000010101101100011,
110010101001001001101101010,
110010101001111101101100110,
110010101010110001101010111,
110010101011100101100111110,
110010101100011001100011010,
110010101101001101011101100,
110010101110000001010110011,
110010101110110101001110000,
110010101111101001000100010,
110010110000011100111001010,
110010110001010000101100111,
110010110010000100011111010,
110010110010111000010000010,
110010110011101100000000000,
110010110100011111101110100,
110010110101010011011011100,
110010110110000111000111011,
110010110110111010110001111,
110010110111101110011011001,
110010111000100010000011000,
110010111001010101101001101,
110010111010001001001110111,
110010111010111100110010111,
110010111011110000010101101,
110010111100100011110111000,
110010111101010111010111001,
110010111110001010110101111,
110010111110111110010011100,
110010111111110001101111110,
110011000000100101001010101,
110011000001011000100100010,
110011000010001011111100101,
110011000010111111010011110,
110011000011110010101001100,
110011000100100101111110000,
110011000101011001010001010,
110011000110001100100011001,
110011000110111111110011110,
110011000111110011000011001,
110011001000100110010001010,
110011001001011001011110000,
110011001010001100101001100,
110011001010111111110011110,
110011001011110010111100110,
110011001100100110000100100,
110011001101011001001010111,
110011001110001100010000000,
110011001110111111010011111,
110011001111110010010110100,
110011010000100101010111110,
110011010001011000010111110,
110011010010001011010110101,
110011010010111110010100001,
110011010011110001010000011,
110011010100100100001011011,
110011010101010111000101000,
110011010110001001111101100,
110011010110111100110100101,
110011010111101111101010101,
110011011000100010011111010,
110011011001010101010010101,
110011011010001000000100110,
110011011010111010110101101,
110011011011101101100101010,
110011011100100000010011101,
110011011101010011000000110,
110011011110000101101100101,
110011011110111000010111010,
110011011111101011000000100,
110011100000011101101000101,
110011100001010000001111100,
110011100010000010110101001,
110011100010110101011001100,
110011100011100111111100100,
110011100100011010011110011,
110011100101001100111111000,
110011100101111111011110011,
110011100110110001111100100,
110011100111100100011001011,
110011101000010110110101000,
110011101001001001001111011,
110011101001111011101000100,
110011101010101110000000011,
110011101011100000010111001,
110011101100010010101100100,
110011101101000101000000110,
110011101101110111010011110,
110011101110101001100101011,
110011101111011011110101111,
110011110000001110000101001,
110011110001000000010011010,
110011110001110010100000000,
110011110010100100101011100,
110011110011010110110101111,
110011110100001000111111000,
110011110100111011000110111,
110011110101101101001101100,
110011110110011111010011000,
110011110111010001010111001,
110011111000000011011010001,
110011111000110101011011111,
110011111001100111011100011,
110011111010011001011011110,
110011111011001011011001111,
110011111011111101010110110,
110011111100101111010010011,
110011111101100001001100110,
110011111110010011000110000,
110011111111000100111110000,
110011111111110110110100111,
110100000000010100010101010,
110100000000101101001111011,
110100000001000110001001000,
110100000001011111000010000,
110100000001110111111010011,
110100000010010000110010001,
110100000010101001101001010,
110100000011000010011111111,
110100000011011011010101110,
110100000011110100001011001,
110100000100001100111111111,
110100000100100101110100000,
110100000100111110100111101,
110100000101010111011010100,
110100000101110000001100111,
110100000110001000111110101,
110100000110100001101111110,
110100000110111010100000011,
110100000111010011010000010,
110100000111101011111111101,
110100001000000100101110011,
110100001000011101011100100,
110100001000110110001010001,
110100001001001110110111001,
110100001001100111100011100,
110100001010000000001111010,
110100001010011000111010011,
110100001010110001100101000,
110100001011001010001111000,
110100001011100010111000011,
110100001011111011100001010,
110100001100010100001001011,
110100001100101100110001000,
110100001101000101011000000,
110100001101011101111110100,
110100001101110110100100011,
110100001110001111001001101,
110100001110100111101110010,
110100001111000000010010011,
110100001111011000110101111,
110100001111110001011000110,
110100010000001001111011000,
110100010000100010011100110,
110100010000111010111101111,
110100010001010011011110100,
110100010001101011111110100,
110100010010000100011101110,
110100010010011100111100101,
110100010010110101011010110,
110100010011001101111000011,
110100010011100110010101100,
110100010011111110110001111,
110100010100010111001101110,
110100010100101111101001001,
110100010101001000000011110,
110100010101100000011101111,
110100010101111000110111100,
110100010110010001010000011,
110100010110101001101000110,
110100010111000010000000101,
110100010111011010010111110,
110100010111110010101110100,
110100011000001011000100100,
110100011000100011011010000,
110100011000111011101110111,
110100011001010100000011010,
110100011001101100010111000,
110100011010000100101010001,
110100011010011100111100110,
110100011010110101001110110,
110100011011001101100000010,
110100011011100101110001001,
110100011011111110000001011,
110100011100010110010001001,
110100011100101110100000010,
110100011101000110101110111,
110100011101011110111100111,
110100011101110111001010010,
110100011110001111010111001,
110100011110100111100011011,
110100011110111111101111001,
110100011111010111111010010,
110100011111110000000100111,
110100100000001000001110111,
110100100000100000011000010,
110100100000111000100001001,
110100100001010000101001011,
110100100001101000110001001,
110100100010000000111000010,
110100100010011000111110111,
110100100010110001000101000,
110100100011001001001010011,
110100100011100001001111010,
110100100011111001010011101,
110100100100010001010111011,
110100100100101001011010100,
110100100101000001011101001,
110100100101011001011111010,
110100100101110001100000110,
110100100110001001100001110,
110100100110100001100010000,
110100100110111001100001111,
110100100111010001100001001,
110100100111101001011111110,
110100101000000001011110000,
110100101000011001011011100,
110100101000110001011000100,
110100101001001001010101000,
110100101001100001010000111,
110100101001111001001100001,
110100101010010001000111000,
110100101010101001000001001,
110100101011000000111010110,
110100101011011000110011111,
110100101011110000101100011,
110100101100001000100100011,
110100101100100000011011110,
110100101100111000010010110,
110100101101010000001001000,
110100101101100111111110110,
110100101101111111110100000,
110100101110010111101000101,
110100101110101111011100110,
110100101111000111010000010,
110100101111011111000011010,
110100101111110110110101101,
110100110000001110100111100,
110100110000100110011000111,
110100110000111110001001101,
110100110001010101111001111,
110100110001101101101001100,
110100110010000101011000101,
110100110010011101000111010,
110100110010110100110101010,
110100110011001100100010110,
110100110011100100001111110,
110100110011111011111100000,
110100110100010011100111111,
110100110100101011010011001,
110100110101000010111101111,
110100110101011010101000001,
110100110101110010010001110,
110100110110001001111010111,
110100110110100001100011011,
110100110110111001001011011,
110100110111010000110010111,
110100110111101000011001110,
110100111000000000000000001,
110100111000010111100110000,
110100111000101111001011010,
110100111001000110110000000,
110100111001011110010100010,
110100111001110101110111111,
110100111010001101011011000,
110100111010100100111101100,
110100111010111100011111101,
110100111011010100000001001,
110100111011101011100010000,
110100111100000011000010100,
110100111100011010100010010,
110100111100110010000001101,
110100111101001001100000100,
110100111101100000111110110,
110100111101111000011100011,
110100111110001111111001101,
110100111110100111010110010,
110100111110111110110010011,
110100111111010110001110000,
110100111111101101101001000,
110101000000000101000011100,
110101000000011100011101011,
110101000000110011110110111,
110101000001001011001111110,
110101000001100010101000001,
110101000001111010000000000,
110101000010010001010111010,
110101000010101000101110000,
110101000011000000000100010,
110101000011010111011010000,
110101000011101110101111001,
110101000100000110000011110,
110101000100011101010111111,
110101000100110100101011100,
110101000101001011111110100,
110101000101100011010001000,
110101000101111010100011000,
110101000110010001110100100,
110101000110101001000101011,
110101000111000000010101110,
110101000111010111100101101,
110101000111101110110101000,
110101001000000110000011110,
110101001000011101010010000,
110101001000110100011111110,
110101001001001011101101000,
110101001001100010111001110,
110101001001111010000110000,
110101001010010001010001101,
110101001010101000011100110,
110101001010111111100111011,
110101001011010110110001100,
110101001011101101111011000,
110101001100000101000100000,
110101001100011100001100100,
110101001100110011010100100,
110101001101001010011100000,
110101001101100001100011000,
110101001101111000101001011,
110101001110001111101111010,
110101001110100110110100110,
110101001110111101111001100,
110101001111010100111101111,
110101001111101100000001110,
110101010000000011000101000,
110101010000011010000111111,
110101010000110001001010001,
110101010001001000001011111,
110101010001011111001101001,
110101010001110110001101111,
110101010010001101001110000,
110101010010100100001101110,
110101010010111011001100111,
110101010011010010001011100,
110101010011101001001001101,
110101010100000000000111010,
110101010100010111000100011,
110101010100101110000001000,
110101010101000100111101001,
110101010101011011111000101,
110101010101110010110011110,
110101010110001001101110010,
110101010110100000101000010,
110101010110110111100001110,
110101010111001110011010110,
110101010111100101010011010,
110101010111111100001011010,
110101011000010011000010110,
110101011000101001111001110,
110101011001000000110000001,
110101011001010111100110000,
110101011001101110011011100,
110101011010000101010000100,
110101011010011100000100111,
110101011010110010111000110,
110101011011001001101100001,
110101011011100000011111000,
110101011011110111010001011,
110101011100001110000011010,
110101011100100100110100101,
110101011100111011100101100,
110101011101010010010101111,
110101011101101001000101110,
110101011101111111110101000,
110101011110010110100011111,
110101011110101101010010010,
110101011111000100000000000,
110101011111011010101101011,
110101011111110001011010010,
110101100000001000000110100,
110101100000011110110010011,
110101100000110101011101110,
110101100001001100001000100,
110101100001100010110010110,
110101100001111001011100101,
110101100010010000000110000,
110101100010100110101110110,
110101100010111101010111000,
110101100011010011111110111,
110101100011101010100110001,
110101100100000001001101000,
110101100100010111110011010,
110101100100101110011001001,
110101100101000100111110011,
110101100101011011100011010,
110101100101110010000111100,
110101100110001000101011011,
110101100110011111001110110,
110101100110110101110001100,
110101100111001100010011111,
110101100111100010110101101,
110101100111111001010111000,
110101101000001111110111111,
110101101000100110011000010,
110101101000111100111000001,
110101101001010011010111100,
110101101001101001110110010,
110101101010000000010100110,
110101101010010110110010100,
110101101010101101010000000,
110101101011000011101100111,
110101101011011010001001010,
110101101011110000100101001,
110101101100000111000000101,
110101101100011101011011100,
110101101100110011110110000,
110101101101001010010000000,
110101101101100000101001011,
110101101101110111000010011,
110101101110001101011010111,
110101101110100011110010111,
110101101110111010001010011,
110101101111010000100001011,
110101101111100110110111111,
110101101111111101001101111,
110101110000010011100011100,
110101110000101001111000100,
110101110001000000001101001,
110101110001010110100001010,
110101110001101100110100110,
110101110010000011000111111,
110101110010011001011010100,
110101110010101111101100110,
110101110011000101111110011,
110101110011011100001111100,
110101110011110010100000010,
110101110100001000110000100,
110101110100011111000000010,
110101110100110101001111100,
110101110101001011011110010,
110101110101100001101100100,
110101110101110111111010010,
110101110110001110000111101,
110101110110100100010100011,
110101110110111010100000110,
110101110111010000101100101,
110101110111100110111000000,
110101110111111101000011000,
110101111000010011001101011,
110101111000101001010111011,
110101111000111111100000111,
110101111001010101101001110,
110101111001101011110010011,
110101111010000001111010011,
110101111010011000000001111,
110101111010101110001001000,
110101111011000100001111101,
110101111011011010010101110,
110101111011110000011011011,
110101111100000110100000100,
110101111100011100100101010,
110101111100110010101001100,
110101111101001000101101010,
110101111101011110110000100,
110101111101110100110011010,
110101111110001010110101101,
110101111110100000110111100,
110101111110110110111000111,
110101111111001100111001110,
110101111111100010111010001,
110101111111111000111010001,
110110000000001110111001101,
110110000000100100111000101,
110110000000111010110111001,
110110000001010000110101010,
110110000001100110110010111,
110110000001111100110000000,
110110000010010010101100101,
110110000010101000101000111,
110110000010111110100100100,
110110000011010100011111110,
110110000011101010011010100,
110110000100000000010100111,
110110000100010110001110110,
110110000100101100001000001,
110110000101000010000001000,
110110000101010111111001011,
110110000101101101110001011,
110110000110000011101000111,
110110000110011001011111111,
110110000110101111010110100,
110110000111000101001100101,
110110000111011011000010010,
110110000111110000110111011,
110110001000000110101100001,
110110001000011100100000011,
110110001000110010010100001,
110110001001001000000111011,
110110001001011101111010010,
110110001001110011101100101,
110110001010001001011110101,
110110001010011111010000000,
110110001010110101000001000,
110110001011001010110001100,
110110001011100000100001101,
110110001011110110010001010,
110110001100001100000000011,
110110001100100001101111000,
110110001100110111011101010,
110110001101001101001011000,
110110001101100010111000011,
110110001101111000100101010,
110110001110001110010001100,
110110001110100011111101100,
110110001110111001101001000,
110110001111001111010100000,
110110001111100100111110100,
110110001111111010101000101,
110110010000010000010010010,
110110010000100101111011011,
110110010000111011100100001,
110110010001010001001100011,
110110010001100110110100001,
110110010001111100011011100,
110110010010010010000010011,
110110010010100111101000111,
110110010010111101001110111,
110110010011010010110100011,
110110010011101000011001011,
110110010011111101111110000,
110110010100010011100010010,
110110010100101001000101111,
110110010100111110101001001,
110110010101010100001100000,
110110010101101001101110010,
110110010101111111010000010,
110110010110010100110001101,
110110010110101010010010101,
110110010110111111110011001,
110110010111010101010011010,
110110010111101010110010111,
110110011000000000010010000,
110110011000010101110000110,
110110011000101011001111000,
110110011001000000101100111,
110110011001010110001010010,
110110011001101011100111010,
110110011010000001000011110,
110110011010010110011111110,
110110011010101011111011010,
110110011011000001010110100,
110110011011010110110001001,
110110011011101100001011011,
110110011100000001100101001,
110110011100010110111110100,
110110011100101100010111011,
110110011101000001101111111,
110110011101010111000111111,
110110011101101100011111011,
110110011110000001110110100,
110110011110010111001101010,
110110011110101100100011011,
110110011111000001111001010,
110110011111010111001110100,
110110011111101100100011011,
110110100000000001110111111,
110110100000010111001011111,
110110100000101100011111011,
110110100001000001110010100,
110110100001010111000101010,
110110100001101100010111100,
110110100010000001101001010,
110110100010010110111010101,
110110100010101100001011100,
110110100011000001011100000,
110110100011010110101100000,
110110100011101011111011100,
110110100100000001001010101,
110110100100010110011001011,
110110100100101011100111101,
110110100101000000110101100,
110110100101010110000010111,
110110100101101011001111110,
110110100110000000011100010,
110110100110010101101000011,
110110100110101010110100000,
110110100110111111111111001,
110110100111010101001001111,
110110100111101010010100010,
110110100111111111011110001,
110110101000010100100111100,
110110101000101001110000100,
110110101000111110111001001,
110110101001010100000001010,
110110101001101001001000111,
110110101001111110010000001,
110110101010010011010111000,
110110101010101000011101011,
110110101010111101100011011,
110110101011010010101000111,
110110101011100111101110000,
110110101011111100110010101,
110110101100010001110110110,
110110101100100110111010101,
110110101100111011111101111,
110110101101010001000000111,
110110101101100110000011011,
110110101101111011000101011,
110110101110010000000111000,
110110101110100101001000010,
110110101110111010001001000,
110110101111001111001001010,
110110101111100100001001010,
110110101111111001001000101,
110110110000001110000111110,
110110110000100011000110010,
110110110000111000000100100,
110110110001001101000010010,
110110110001100001111111100,
110110110001110110111100100,
110110110010001011111000111,
110110110010100000110101000,
110110110010110101110000100,
110110110011001010101011110,
110110110011011111100110100,
110110110011110100100000110,
110110110100001001011010110,
110110110100011110010100001,
110110110100110011001101010,
110110110101001000000101111,
110110110101011100111110000,
110110110101110001110101110,
110110110110000110101101001,
110110110110011011100100000,
110110110110110000011010100,
110110110111000101010000101,
110110110111011010000110010,
110110110111101110111011100,
110110111000000011110000010,
110110111000011000100100101,
110110111000101101011000100,
110110111001000010001100001,
110110111001010110111111010,
110110111001101011110001111,
110110111010000000100100001,
110110111010010101010110000,
110110111010101010000111011,
110110111010111110111000011,
110110111011010011101001000,
110110111011101000011001001,
110110111011111101001000111,
110110111100010001111000001,
110110111100100110100111000,
110110111100111011010101100,
110110111101010000000011100,
110110111101100100110001010,
110110111101111001011110011,
110110111110001110001011010,
110110111110100010110111101,
110110111110110111100011100,
110110111111001100001111001,
110110111111100000111010010,
110110111111110101100100111,
110111000000001010001111010,
110111000000011110111001001,
110111000000110011100010100,
110111000001001000001011101,
110111000001011100110100010,
110111000001110001011100011,
110111000010000110000100010,
110111000010011010101011101,
110111000010101111010010100,
110111000011000011111001001,
110111000011011000011111010,
110111000011101101000101000,
110111000100000001101010010,
110111000100010110001111001,
110111000100101010110011101,
110111000100111111010111110,
110111000101010011111011011,
110111000101101000011110101,
110111000101111101000001100,
110111000110010001100011110,
110111000110100110000101110,
110111000110111010100111100,
110111000111001111001000101,
110111000111100011101001011,
110111000111111000001001110,
110111001000001100101001110,
110111001000100001001001010,
110111001000110101101000011,
110111001001001010000111001,
110111001001011110100101011,
110111001001110011000011010,
110111001010000111100000110,
110111001010011011111101111,
110111001010110000011010100,
110111001011000100110110110,
110111001011011001010010110,
110111001011101101101110001,
110111001100000010001001010,
110111001100010110100011110,
110111001100101010111110000,
110111001100111111010111111,
110111001101010011110001010,
110111001101101000001010010,
110111001101111100100010111,
110111001110010000111011001,
110111001110100101010010111,
110111001110111001101010010,
110111001111001110000001010,
110111001111100010010111111,
110111001111110110101110000,
110111010000001011000011110,
110111010000011111011001001,
110111010000110011101110001,
110111010001001000000010110,
110111010001011100010110110,
110111010001110000101010100,
110111010010000100111110000,
110111010010011001010000111,
110111010010101101100011100,
110111010011000001110101100,
110111010011010110000111010,
110111010011101010011000101,
110111010011111110101001101,
110111010100010010111010001,
110111010100100111001010010,
110111010100111011011010000,
110111010101001111101001011,
110111010101100011111000010,
110111010101111000000110110,
110111010110001100010101000,
110111010110100000100010110,
110111010110110100110000000,
110111010111001000111101000,
110111010111011101001001100,
110111010111110001010101110,
110111011000000101100001100,
110111011000011001101100110,
110111011000101101110111110,
110111011001000010000010010,
110111011001010110001100100,
110111011001101010010110010,
110111011001111110011111100,
110111011010010010101000100,
110111011010100110110001001,
110111011010111010111001010,
110111011011001111000001000,
110111011011100011001000100,
110111011011110111001111100,
110111011100001011010110000,
110111011100011111011100010,
110111011100110011100010000,
110111011101000111100111100,
110111011101011011101100100,
110111011101101111110001001,
110111011110000011110101011,
110111011110010111111001010,
110111011110101011111100101,
110111011110111111111111110,
110111011111010100000010010,
110111011111101000000100101,
110111011111111100000110100,
110111100000010000001000000,
110111100000100100001001000,
110111100000111000001001110,
110111100001001100001010001,
110111100001100000001010000,
110111100001110100001001100,
110111100010001000001000110,
110111100010011100000111100,
110111100010110000000101110,
110111100011000100000011110,
110111100011011000000001011,
110111100011101011111110100,
110111100011111111111011011,
110111100100010011110111110,
110111100100100111110011110,
110111100100111011101111100,
110111100101001111101010110,
110111100101100011100101100,
110111100101110111100000000,
110111100110001011011010001,
110111100110011111010011110,
110111100110110011001101001,
110111100111000111000110000,
110111100111011010111110101,
110111100111101110110110110,
110111101000000010101110100,
110111101000010110100110000,
110111101000101010011101000,
110111101000111110010011100,
110111101001010010001001110,
110111101001100101111111101,
110111101001111001110101000,
110111101010001101101010001,
110111101010100001011110111,
110111101010110101010011010,
110111101011001001000111001,
110111101011011100111010101,
110111101011110000101101110,
110111101100000100100000100,
110111101100011000010011000,
110111101100101100000101000,
110111101100111111110110101,
110111101101010011100111111,
110111101101100111011000110,
110111101101111011001001010,
110111101110001110111001010,
110111101110100010101001000,
110111101110110110011000011,
110111101111001010000111011,
110111101111011101110110000,
110111101111110001100100001,
110111110000000101010010000,
110111110000011000111111100,
110111110000101100101100100,
110111110001000000011001010,
110111110001010100000101100,
110111110001100111110001011,
110111110001111011011101000,
110111110010001111001000001,
110111110010100010110011000,
110111110010110110011101011,
110111110011001010000111011,
110111110011011101110001000,
110111110011110001011010010,
110111110100000101000011010,
110111110100011000101011110,
110111110100101100010100000,
110111110100111111111011110,
110111110101010011100011001,
110111110101100111001010001,
110111110101111010110000110,
110111110110001110010111000,
110111110110100001111101000,
110111110110110101100010100,
110111110111001001000111101,
110111110111011100101100100,
110111110111110000010000110,
110111111000000011110100111,
110111111000010111011000100,
110111111000101010111011110,
110111111000111110011110110,
110111111001010010000001010,
110111111001100101100011100,
110111111001111001000101010,
110111111010001100100110101,
110111111010100000000111110,
110111111010110011101000011,
110111111011000111001000110,
110111111011011010101000101,
110111111011101110001000010,
110111111100000001100111011,
110111111100010101000110010,
110111111100101000100100101,
110111111100111100000010110,
110111111101001111100000100,
110111111101100010111101110,
110111111101110110011010110,
110111111110001001110111010,
110111111110011101010011100,
110111111110110000101111100,
110111111111000100001011000,
110111111111010111100110000,
110111111111101011000000110,
110111111111111110011011010,
111000000000001000111010101,
111000000000010010100111100,
111000000000011100010100000,
111000000000100110000000100,
111000000000101111101100110,
111000000000111001011000111,
111000000001000011000100110,
111000000001001100110000100,
111000000001010110011100000,
111000000001100000000111100,
111000000001101001110010101,
111000000001110011011101101,
111000000001111101001000011,
111000000010000110110011000,
111000000010010000011101100,
111000000010011010000111110,
111000000010100011110001111,
111000000010101101011011110,
111000000010110111000101100,
111000000011000000101111000,
111000000011001010011000011,
111000000011010100000001100,
111000000011011101101010100,
111000000011100111010011010,
111000000011110000111100000,
111000000011111010100100011,
111000000100000100001100101,
111000000100001101110100110,
111000000100010111011100101,
111000000100100001000100011,
111000000100101010101011111,
111000000100110100010011010,
111000000100111101111010100,
111000000101000111100001100,
111000000101010001001000010,
111000000101011010101110111,
111000000101100100010101011,
111000000101101101111011101,
111000000101110111100001110,
111000000110000001000111110,
111000000110001010101101011,
111000000110010100010011000,
111000000110011101111000011,
111000000110100111011101100,
111000000110110001000010101,
111000000110111010100111100,
111000000111000100001100001,
111000000111001101110000101,
111000000111010111010100111,
111000000111100000111001000,
111000000111101010011101000,
111000000111110100000000110,
111000000111111101100100011,
111000001000000111000111110,
111000001000010000101011000,
111000001000011010001110000,
111000001000100011110000111,
111000001000101101010011101,
111000001000110110110110001,
111000001001000000011000100,
111000001001001001111010101,
111000001001010011011100101,
111000001001011100111110100,
111000001001100110100000001,
111000001001110000000001100,
111000001001111001100010111,
111000001010000011000100000,
111000001010001100100100111,
111000001010010110000101101,
111000001010011111100110010,
111000001010101001000110101,
111000001010110010100110111,
111000001010111100000110111,
111000001011000101100110110,
111000001011001111000110100,
111000001011011000100110000,
111000001011100010000101010,
111000001011101011100100100,
111000001011110101000011100,
111000001011111110100010010,
111000001100001000000000111,
111000001100010001011111011,
111000001100011010111101101,
111000001100100100011011110,
111000001100101101111001110,
111000001100110111010111100,
111000001101000000110101000,
111000001101001010010010100,
111000001101010011101111110,
111000001101011101001100110,
111000001101100110101001110,
111000001101110000000110011,
111000001101111001100011000,
111000001110000010111111010,
111000001110001100011011100,
111000001110010101110111100,
111000001110011111010011011,
111000001110101000101111000,
111000001110110010001010100,
111000001110111011100101111,
111000001111000101000001000,
111000001111001110011100000,
111000001111010111110110110,
111000001111100001010001011,
111000001111101010101011111,
111000001111110100000110001,
111000001111111101100000010,
111000010000000110111010010,
111000010000010000010100000,
111000010000011001101101100,
111000010000100011000111000,
111000010000101100100000010,
111000010000110101111001010,
111000010000111111010010010,
111000010001001000101011000,
111000010001010010000011100,
111000010001011011011011111,
111000010001100100110100001,
111000010001101110001100001,
111000010001110111100100000,
111000010010000000111011110,
111000010010001010010011010,
111000010010010011101010101,
111000010010011101000001110,
111000010010100110011000110,
111000010010101111101111101,
111000010010111001000110010,
111000010011000010011100110,
111000010011001011110011001,
111000010011010101001001010,
111000010011011110011111010,
111000010011100111110101001,
111000010011110001001010110,
111000010011111010100000010,
111000010100000011110101100,
111000010100001101001010110,
111000010100010110011111101,
111000010100011111110100100,
111000010100101001001001001,
111000010100110010011101100,
111000010100111011110001111,
111000010101000101000110000,
111000010101001110011001111,
111000010101010111101101110,
111000010101100001000001010,
111000010101101010010100110,
111000010101110011101000000,
111000010101111100111011001,
111000010110000110001110000,
111000010110001111100000111,
111000010110011000110011100,
111000010110100010000101111,
111000010110101011011000001,
111000010110110100101010010,
111000010110111101111100001,
111000010111000111001101111,
111000010111010000011111100,
111000010111011001110000111,
111000010111100011000010001,
111000010111101100010011010,
111000010111110101100100010,
111000010111111110110101000,
111000011000001000000101100,
111000011000010001010110000,
111000011000011010100110010,
111000011000100011110110010,
111000011000101101000110001,
111000011000110110010101111,
111000011000111111100101100,
111000011001001000110100111,
111000011001010010000100001,
111000011001011011010011010,
111000011001100100100010001,
111000011001101101110000111,
111000011001110110111111100,
111000011010000000001101111,
111000011010001001011100001,
111000011010010010101010010,
111000011010011011111000001,
111000011010100101000101111,
111000011010101110010011100,
111000011010110111100000111,
111000011011000000101110001,
111000011011001001111011010,
111000011011010011001000001,
111000011011011100010100111,
111000011011100101100001100,
111000011011101110101110000,
111000011011110111111010010,
111000011100000001000110010,
111000011100001010010010010,
111000011100010011011110000,
111000011100011100101001101,
111000011100100101110101000,
111000011100101111000000010,
111000011100111000001011011,
111000011101000001010110011,
111000011101001010100001001,
111000011101010011101011110,
111000011101011100110110010,
111000011101100110000000100,
111000011101101111001010101,
111000011101111000010100100,
111000011110000001011110011,
111000011110001010101000000,
111000011110010011110001100,
111000011110011100111010110,
111000011110100110000011111,
111000011110101111001100111,
111000011110111000010101110,
111000011111000001011110011,
111000011111001010100110111,
111000011111010011101111010,
111000011111011100110111011,
111000011111100101111111011,
111000011111101111000111010,
111000011111111000001110111,
111000100000000001010110011,
111000100000001010011101110,
111000100000010011100101000,
111000100000011100101100000,
111000100000100101110010110,
111000100000101110111001100,
111000100000111000000000000,
111000100001000001000110100,
111000100001001010001100101,
111000100001010011010010110,
111000100001011100011000101,
111000100001100101011110011,
111000100001101110100100000,
111000100001110111101001011,
111000100010000000101110101,
111000100010001001110011110,
111000100010010010111000101,
111000100010011011111101011,
111000100010100101000010000,
111000100010101110000110100,
111000100010110111001010110,
111000100011000000001110111,
111000100011001001010010110,
111000100011010010010110101,
111000100011011011011010010,
111000100011100100011101110,
111000100011101101100001000,
111000100011110110100100010,
111000100011111111100111010,
111000100100001000101010001,
111000100100010001101100110,
111000100100011010101111010,
111000100100100011110001101,
111000100100101100110011111,
111000100100110101110101111,
111000100100111110110111110,
111000100101000111111001100,
111000100101010000111011001,
111000100101011001111100100,
111000100101100010111101110,
111000100101101011111110111,
111000100101110100111111110,
111000100101111110000000100,
111000100110000111000001001,
111000100110010000000001101,
111000100110011001000001111,
111000100110100010000010000,
111000100110101011000010000,
111000100110110100000001111,
111000100110111101000001100,
111000100111000110000001000,
111000100111001111000000011,
111000100111010111111111101,
111000100111100000111110101,
111000100111101001111101100,
111000100111110010111100010,
111000100111111011111010110,
111000101000000100111001001,
111000101000001101110111011,
111000101000010110110101100,
111000101000011111110011100,
111000101000101000110001010,
111000101000110001101110111,
111000101000111010101100010,
111000101001000011101001101,
111000101001001100100110110,
111000101001010101100011110,
111000101001011110100000101,
111000101001100111011101010,
111000101001110000011001110,
111000101001111001010110001,
111000101010000010010010011,
111000101010001011001110011,
111000101010010100001010011,
111000101010011101000110000,
111000101010100110000001101,
111000101010101110111101001,
111000101010110111111000011,
111000101011000000110011100,
111000101011001001101110100,
111000101011010010101001010,
111000101011011011100011111,
111000101011100100011110011,
111000101011101101011000110,
111000101011110110010011000,
111000101011111111001101000,
111000101100001000000110111,
111000101100010001000000100,
111000101100011001111010001,
111000101100100010110011100,
111000101100101011101100110,
111000101100110100100101111,
111000101100111101011110111,
111000101101000110010111101,
111000101101001111010000010,
111000101101011000001000110,
111000101101100001000001001,
111000101101101001111001010,
111000101101110010110001011,
111000101101111011101001010,
111000101110000100100000111,
111000101110001101011000100,
111000101110010110001111111,
111000101110011111000111001,
111000101110100111111110010,
111000101110110000110101010,
111000101110111001101100000,
111000101111000010100010101,
111000101111001011011001001,
111000101111010100001111100,
111000101111011101000101101,
111000101111100101111011110,
111000101111101110110001101,
111000101111110111100111010,
111000110000000000011100111,
111000110000001001010010010,
111000110000010010000111101,
111000110000011010111100110,
111000110000100011110001101,
111000110000101100100110100,
111000110000110101011011001,
111000110000111110001111101,
111000110001000111000100000,
111000110001001111111000010,
111000110001011000101100010,
111000110001100001100000001,
111000110001101010010011111,
111000110001110011000111100,
111000110001111011111011000,
111000110010000100101110010,
111000110010001101100001011,
111000110010010110010100011,
111000110010011111000111010,
111000110010100111111010000,
111000110010110000101100100,
111000110010111001011110111,
111000110011000010010001001,
111000110011001011000011010,
111000110011010011110101001,
111000110011011100100110111,
111000110011100101011000100,
111000110011101110001010000,
111000110011110110111011011,
111000110011111111101100100,
111000110100001000011101101,
111000110100010001001110100,
111000110100011001111111010,
111000110100100010101111110,
111000110100101011100000010,
111000110100110100010000100,
111000110100111101000000101,
111000110101000101110000101,
111000110101001110100000100,
111000110101010111010000001,
111000110101011111111111110,
111000110101101000101111001,
111000110101110001011110011,
111000110101111010001101100,
111000110110000010111100011,
111000110110001011101011001,
111000110110010100011001110,
111000110110011101001000010,
111000110110100101110110101,
111000110110101110100100111,
111000110110110111010010111,
111000110111000000000000110,
111000110111001000101110100,
111000110111010001011100001,
111000110111011010001001101,
111000110111100010110111000,
111000110111101011100100001,
111000110111110100010001001,
111000110111111100111110000,
111000111000000101101010110,
111000111000001110010111010,
111000111000010111000011110,
111000111000011111110000000,
111000111000101000011100001,
111000111000110001001000000,
111000111000111001110011111,
111000111001000010011111101,
111000111001001011001011001,
111000111001010011110110100,
111000111001011100100001110,
111000111001100101001100111,
111000111001101101110111110,
111000111001110110100010101,
111000111001111111001101010,
111000111010000111110111110,
111000111010010000100010001,
111000111010011001001100011,
111000111010100001110110011,
111000111010101010100000011,
111000111010110011001010001,
111000111010111011110011110,
111000111011000100011101010,
111000111011001101000110100,
111000111011010101101111110,
111000111011011110011000110,
111000111011100111000001110,
111000111011101111101010100,
111000111011111000010011000,
111000111100000000111011100,
111000111100001001100011111,
111000111100010010001100000,
111000111100011010110100000,
111000111100100011011011111,
111000111100101100000011101,
111000111100110100101011010,
111000111100111101010010110,
111000111101000101111010000,
111000111101001110100001001,
111000111101010111001000001,
111000111101011111101111000,
111000111101101000010101110,
111000111101110000111100011,
111000111101111001100010110,
111000111110000010001001000,
111000111110001010101111010,
111000111110010011010101010,
111000111110011011111011000,
111000111110100100100000110,
111000111110101101000110011,
111000111110110101101011110,
111000111110111110010001000,
111000111111000110110110010,
111000111111001111011011001,
111000111111011000000000000,
111000111111100000100100110,
111000111111101001001001010,
111000111111110001101101110,
111000111111111010010010000,
111001000000000010110110001,
111001000000001011011010001,
111001000000010011111110000,
111001000000011100100001101,
111001000000100101000101010,
111001000000101101101000101,
111001000000110110001011111,
111001000000111110101111000,
111001000001000111010010000,
111001000001001111110100111,
111001000001011000010111100,
111001000001100000111010001,
111001000001101001011100100,
111001000001110001111110111,
111001000001111010100001000,
111001000010000011000011000,
111001000010001011100100110,
111001000010010100000110100,
111001000010011100101000000,
111001000010100101001001100,
111001000010101101101010110,
111001000010110110001011111,
111001000010111110101100111,
111001000011000111001101110,
111001000011001111101110100,
111001000011011000001111000,
111001000011100000101111100,
111001000011101001001111110,
111001000011110001101111111,
111001000011111010010000000,
111001000100000010101111110,
111001000100001011001111100,
111001000100010011101111001,
111001000100011100001110100,
111001000100100100101101111,
111001000100101101001101000,
111001000100110101101100000,
111001000100111110001010111,
111001000101000110101001101,
111001000101001111001000010,
111001000101010111100110110,
111001000101100000000101000,
111001000101101000100011010,
111001000101110001000001010,
111001000101111001011111001,
111001000110000001111100111,
111001000110001010011010100,
111001000110010010111000000,
111001000110011011010101011,
111001000110100011110010101,
111001000110101100001111101,
111001000110110100101100100,
111001000110111101001001011,
111001000111000101100110000,
111001000111001110000010100,
111001000111010110011110111,
111001000111011110111011001,
111001000111100111010111001,
111001000111101111110011001,
111001000111111000001110111,
111001001000000000101010101,
111001001000001001000110001,
111001001000010001100001100,
111001001000011001111100110,
111001001000100010010111111,
111001001000101010110010111,
111001001000110011001101101,
111001001000111011101000011,
111001001001000100000011000,
111001001001001100011101011,
111001001001010100110111101,
111001001001011101010001110,
111001001001100101101011110,
111001001001101110000101101,
111001001001110110011111011,
111001001001111110111001000,
111001001010000111010010100,
111001001010001111101011110,
111001001010011000000101000,
111001001010100000011110000,
111001001010101000110110111,
111001001010110001001111101,
111001001010111001101000010,
111001001011000010000000110,
111001001011001010011001001,
111001001011010010110001011,
111001001011011011001001100,
111001001011100011100001011,
111001001011101011111001010,
111001001011110100010000111,
111001001011111100101000011,
111001001100000100111111110,
111001001100001101010111000,
111001001100010101101110001,
111001001100011110000101001,
111001001100100110011100000,
111001001100101110110010110,
111001001100110111001001010,
111001001100111111011111110,
111001001101000111110110000,
111001001101010000001100010,
111001001101011000100010010,
111001001101100000111000001,
111001001101101001001101111,
111001001101110001100011100,
111001001101111001111001000,
111001001110000010001110011,
111001001110001010100011101,
111001001110010010111000110,
111001001110011011001101101,
111001001110100011100010100,
111001001110101011110111001,
111001001110110100001011101,
111001001110111100100000001,
111001001111000100110100011,
111001001111001101001000100,
111001001111010101011100100,
111001001111011101110000011,
111001001111100110000100001,
111001001111101110010111110,
111001001111110110101011001,
111001001111111110111110100,
111001010000000111010001101,
111001010000001111100100110,
111001010000010111110111101,
111001010000100000001010100,
111001010000101000011101001,
111001010000110000101111101,
111001010000111001000010000,
111001010001000001010100010,
111001010001001001100110011,
111001010001010001111000011,
111001010001011010001010010,
111001010001100010011100000,
111001010001101010101101100,
111001010001110010111111000,
111001010001111011010000010,
111001010010000011100001100,
111001010010001011110010100,
111001010010010100000011100,
111001010010011100010100010,
111001010010100100100100111,
111001010010101100110101011,
111001010010110101000101110,
111001010010111101010110000,
111001010011000101100110001,
111001010011001101110110001,
111001010011010110000110000,
111001010011011110010101110,
111001010011100110100101010,
111001010011101110110100110,
111001010011110111000100000,
111001010011111111010011010,
111001010100000111100010010,
111001010100001111110001010,
111001010100011000000000000,
111001010100100000001110101,
111001010100101000011101010,
111001010100110000101011101,
111001010100111000111001111,
111001010101000001001000000,
111001010101001001010110000,
111001010101010001100011111,
111001010101011001110001101,
111001010101100001111111001,
111001010101101010001100101,
111001010101110010011010000,
111001010101111010100111010,
111001010110000010110100010,
111001010110001011000001010,
111001010110010011001110000,
111001010110011011011010110,
111001010110100011100111010,
111001010110101011110011101,
111001010110110100000000000,
111001010110111100001100001,
111001010111000100011000001,
111001010111001100100100000,
111001010111010100101111110,
111001010111011100111011100,
111001010111100101000111000,
111001010111101101010010010,
111001010111110101011101100,
111001010111111101101000101,
111001011000000101110011101,
111001011000001101111110100,
111001011000010110001001010,
111001011000011110010011110,
111001011000100110011110010,
111001011000101110101000101,
111001011000110110110010110,
111001011000111110111100111,
111001011001000111000110110,
111001011001001111010000101,
111001011001010111011010010,
111001011001011111100011111,
111001011001100111101101010,
111001011001101111110110100,
111001011001110111111111110,
111001011010000000001000110,
111001011010001000010001101,
111001011010010000011010011,
111001011010011000100011000,
111001011010100000101011101,
111001011010101000110100000,
111001011010110000111100010,
111001011010111001000100011,
111001011011000001001100011,
111001011011001001010100010,
111001011011010001011100000,
111001011011011001100011101,
111001011011100001101011000,
111001011011101001110010011,
111001011011110001111001101,
111001011011111010000000110,
111001011100000010000111110,
111001011100001010001110100,
111001011100010010010101010,
111001011100011010011011111,
111001011100100010100010010,
111001011100101010101000101,
111001011100110010101110110,
111001011100111010110100111,
111001011101000010111010111,
111001011101001011000000101,
111001011101010011000110011,
111001011101011011001011111,
111001011101100011010001011,
111001011101101011010110101,
111001011101110011011011110,
111001011101111011100000111,
111001011110000011100101110,
111001011110001011101010101,
111001011110010011101111010,
111001011110011011110011110,
111001011110100011111000001,
111001011110101011111100100,
111001011110110100000000101,
111001011110111100000100101,
111001011111000100001000101,
111001011111001100001100011,
111001011111010100010000000,
111001011111011100010011100,
111001011111100100010110111,
111001011111101100011010001,
111001011111110100011101011,
111001011111111100100000011,
111001100000000100100011010,
111001100000001100100110000,
111001100000010100101000101,
111001100000011100101011001,
111001100000100100101101100,
111001100000101100101111110,
111001100000110100110001111,
111001100000111100110100000,
111001100001000100110101111,
111001100001001100110111101,
111001100001010100111001010,
111001100001011100111010110,
111001100001100100111100001,
111001100001101100111101011,
111001100001110100111110100,
111001100001111100111111100,
111001100010000101000000011,
111001100010001101000001001,
111001100010010101000001110,
111001100010011101000010010,
111001100010100101000010101,
111001100010101101000010111,
111001100010110101000011000;

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.