OpenCores
URL https://opencores.org/ocsvn/fpz8/fpz8/trunk

Subversion Repositories fpz8

[/] [CPU.bdf] - Rev 3

Compare with Previous | Blame | View Log

/*
WARNING: Do NOT edit the input and output ports in this file in a text
editor if you plan to continue editing the block that represents it in
the Block Editor! File corruption is VERY likely to occur.
*/
/*
Copyright (C) 1991-2010 Altera Corporation
Your use of Altera Corporation's design tools, logic functions 
and other software and tools, and its AMPP partner logic 
functions, and any output files from any of the foregoing 
(including device programming or simulation files), and any 
associated documentation or information are expressly subject 
to the terms and conditions of the Altera Program License 
Subscription Agreement, Altera MegaCore Function License 
Agreement, or other applicable license agreement, including, 
without limitation, that your use is for the sole purpose of 
programming logic devices manufactured by Altera and sold by 
Altera or its authorized distributors.  Please refer to the 
applicable agreement for further details.
*/
(header "graphic" (version "1.3"))
(pin
        (input)
        (rect 40 64 208 80)
        (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6)))
        (text "CLOCK" (rect 9 0 46 12)(font "Arial" ))
        (pt 168 8)
        (drawing
                (line (pt 92 12)(pt 117 12)(line_width 1))
                (line (pt 92 4)(pt 117 4)(line_width 1))
                (line (pt 121 8)(pt 168 8)(line_width 1))
                (line (pt 92 12)(pt 92 4)(line_width 1))
                (line (pt 117 4)(pt 121 8)(line_width 1))
                (line (pt 117 12)(pt 121 8)(line_width 1))
        )
        (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6)))
        (annotation_block (location)(rect -8 64 40 80))
)
(pin
        (input)
        (rect 40 80 208 96)
        (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6)))
        (text "RESET" (rect 9 0 44 12)(font "Arial" ))
        (pt 168 8)
        (drawing
                (line (pt 92 12)(pt 117 12)(line_width 1))
                (line (pt 92 4)(pt 117 4)(line_width 1))
                (line (pt 121 8)(pt 168 8)(line_width 1))
                (line (pt 92 12)(pt 92 4)(line_width 1))
                (line (pt 117 4)(pt 121 8)(line_width 1))
                (line (pt 117 12)(pt 121 8)(line_width 1))
        )
        (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6)))
        (annotation_block (location)(rect -8 80 40 96))
)
(pin
        (input)
        (rect 40 176 208 192)
        (text "INPUT" (rect 133 0 161 10)(font "Arial" (font_size 6)))
        (text "DBG_RX" (rect 5 0 48 12)(font "Arial" ))
        (pt 168 8)
        (drawing
                (line (pt 92 12)(pt 117 12)(line_width 1))
                (line (pt 92 4)(pt 117 4)(line_width 1))
                (line (pt 121 8)(pt 168 8)(line_width 1))
                (line (pt 92 12)(pt 92 4)(line_width 1))
                (line (pt 117 4)(pt 121 8)(line_width 1))
                (line (pt 117 12)(pt 121 8)(line_width 1))
        )
        (text "VCC" (rect 136 7 156 17)(font "Arial" (font_size 6)))
        (annotation_block (location)(rect -8 176 40 192))
)
(pin
        (output)
        (rect 40 464 216 480)
        (text "OUTPUT" (rect 137 0 175 10)(font "Arial" (font_size 6)))
        (text "PAOUT[7..0]" (rect 5 0 67 12)(font "Arial" ))
        (pt 176 8)
        (drawing
                (line (pt 176 8)(pt 124 8)(line_width 1))
                (line (pt 124 4)(pt 98 4)(line_width 1))
                (line (pt 124 12)(pt 98 12)(line_width 1))
                (line (pt 124 12)(pt 124 4)(line_width 1))
                (line (pt 98 4)(pt 94 8)(line_width 1))
                (line (pt 94 8)(pt 98 12)(line_width 1))
                (line (pt 98 12)(pt 94 8)(line_width 1))
        )
        (flipy)
        (annotation_block (location)(rect 48 480 96 592))
)
(pin
        (output)
        (rect 40 160 216 176)
        (text "OUTPUT" (rect 137 6 175 16)(font "Arial" (font_size 6)))
        (text "DBG_TX" (rect 5 4 46 16)(font "Arial" ))
        (pt 176 8)
        (drawing
                (line (pt 176 8)(pt 124 8)(line_width 1))
                (line (pt 124 12)(pt 98 12)(line_width 1))
                (line (pt 124 4)(pt 98 4)(line_width 1))
                (line (pt 124 4)(pt 124 12)(line_width 1))
                (line (pt 98 12)(pt 94 8)(line_width 1))
                (line (pt 94 8)(pt 98 4)(line_width 1))
                (line (pt 98 4)(pt 94 8)(line_width 1))
        )
        (rotate180)
        (annotation_block (location)(rect -8 160 40 176))
)
(symbol
        (rect 608 -24 864 88)
        (text "altsyncram2" (rect 168 0 251 16)(font "Arial" (font_size 10)))
        (text "Program_Memory" (rect 8 100 95 112)(font "Arial" ))
        (port
                (pt 0 80)
                (input)
                (text "data[7..0]" (rect 0 0 53 14)(font "Arial" (font_size 8)))
                (text "data[7..0]" (rect 4 79 57 93)(font "Arial" (font_size 8)))
                (line (pt 0 80)(pt 112 80)(line_width 3))
        )
        (port
                (pt 0 64)
                (input)
                (text "address[13..0]" (rect 0 0 82 14)(font "Arial" (font_size 8)))
                (text "address[13..0]" (rect 4 63 86 77)(font "Arial" (font_size 8)))
                (line (pt 0 64)(pt 112 64)(line_width 3))
        )
        (port
                (pt 0 48)
                (input)
                (text "wren" (rect 0 0 30 14)(font "Arial" (font_size 8)))
                (text "wren" (rect 4 47 34 61)(font "Arial" (font_size 8)))
                (line (pt 0 48)(pt 112 48)(line_width 1))
        )
        (port
                (pt 0 24)
                (input)
                (text "clock" (rect 0 0 29 14)(font "Arial" (font_size 8)))
                (text "clock" (rect 4 23 33 37)(font "Arial" (font_size 8)))
                (line (pt 0 24)(pt 104 24)(line_width 1))
        )
        (port
                (pt 256 80)
                (output)
                (text "q[7..0]" (rect 0 0 35 14)(font "Arial" (font_size 8)))
                (text "q[7..0]" (rect 223 79 258 93)(font "Arial" (font_size 8)))
                (line (pt 256 80)(pt 168 80)(line_width 3))
        )
        (drawing
                (text "16384 Word(s)" (rect 136 20 148 91)(font "Arial" )(vertical))
                (text "RAM" (rect 149 47 161 70)(font "Arial" )(vertical))
                (text "Block Type: AUTO" (rect 41 8 133 20)(font "Arial" ))
                (line (pt 128 88)(pt 168 88)(line_width 1))
                (line (pt 168 88)(pt 168 32)(line_width 1))
                (line (pt 168 32)(pt 128 32)(line_width 1))
                (line (pt 128 32)(pt 128 88)(line_width 1))
                (line (pt 112 85)(pt 120 85)(line_width 1))
                (line (pt 120 85)(pt 120 73)(line_width 1))
                (line (pt 120 73)(pt 112 73)(line_width 1))
                (line (pt 112 73)(pt 112 85)(line_width 1))
                (line (pt 112 78)(pt 114 76)(line_width 1))
                (line (pt 114 76)(pt 112 74)(line_width 1))
                (line (pt 104 76)(pt 112 76)(line_width 1))
                (line (pt 120 80)(pt 128 80)(line_width 3))
                (line (pt 112 69)(pt 120 69)(line_width 1))
                (line (pt 120 69)(pt 120 57)(line_width 1))
                (line (pt 120 57)(pt 112 57)(line_width 1))
                (line (pt 112 57)(pt 112 69)(line_width 1))
                (line (pt 112 62)(pt 114 60)(line_width 1))
                (line (pt 114 60)(pt 112 58)(line_width 1))
                (line (pt 104 60)(pt 112 60)(line_width 1))
                (line (pt 120 64)(pt 128 64)(line_width 3))
                (line (pt 112 53)(pt 120 53)(line_width 1))
                (line (pt 120 53)(pt 120 41)(line_width 1))
                (line (pt 120 41)(pt 112 41)(line_width 1))
                (line (pt 112 41)(pt 112 53)(line_width 1))
                (line (pt 112 46)(pt 114 44)(line_width 1))
                (line (pt 114 44)(pt 112 42)(line_width 1))
                (line (pt 104 44)(pt 112 44)(line_width 1))
                (line (pt 120 48)(pt 128 48)(line_width 1))
                (line (pt 104 76)(pt 104 23)(line_width 1))
        )
        (flipx)
)
(symbol
        (rect 280 40 480 512)
        (text "fpz8_cpu_v1" (rect 5 0 68 12)(font "Arial" ))
        (text "inst" (rect 8 456 25 468)(font "Arial" ))
        (port
                (pt 200 80)
                (input)
                (text "IDB[7..0]" (rect 0 0 44 12)(font "Arial" ))
                (text "IDB[7..0]" (rect 132 72 176 84)(font "Arial" ))
                (line (pt 184 80)(pt 200 80)(line_width 3))
        )
        (port
                (pt 200 160)
                (input)
                (text "FRIDB[7..0]" (rect 0 0 60 12)(font "Arial" ))
                (text "FRIDB[7..0]" (rect 116 152 176 164)(font "Arial" ))
                (line (pt 184 160)(pt 200 160)(line_width 3))
        )
        (port
                (pt 200 224)
                (input)
                (text "RIDB[7..0]" (rect 0 0 53 12)(font "Arial" ))
                (text "RIDB[7..0]" (rect 123 216 176 228)(font "Arial" ))
                (line (pt 184 224)(pt 200 224)(line_width 3))
        )
        (port
                (pt 200 432)
                (input)
                (text "INT0" (rect 0 0 23 12)(font "Arial" ))
                (text "INT0" (rect 153 424 176 436)(font "Arial" ))
                (line (pt 184 432)(pt 200 432)(line_width 1))
        )
        (port
                (pt 200 416)
                (input)
                (text "INT1" (rect 0 0 23 12)(font "Arial" ))
                (text "INT1" (rect 153 408 176 420)(font "Arial" ))
                (line (pt 184 416)(pt 200 416)(line_width 1))
        )
        (port
                (pt 200 400)
                (input)
                (text "INT2" (rect 0 0 23 12)(font "Arial" ))
                (text "INT2" (rect 153 392 176 404)(font "Arial" ))
                (line (pt 184 400)(pt 200 400)(line_width 1))
        )
        (port
                (pt 200 384)
                (input)
                (text "INT3" (rect 0 0 23 12)(font "Arial" ))
                (text "INT3" (rect 153 376 176 388)(font "Arial" ))
                (line (pt 184 384)(pt 200 384)(line_width 1))
        )
        (port
                (pt 200 368)
                (input)
                (text "INT4" (rect 0 0 23 12)(font "Arial" ))
                (text "INT4" (rect 153 360 176 372)(font "Arial" ))
                (line (pt 184 368)(pt 200 368)(line_width 1))
        )
        (port
                (pt 200 352)
                (input)
                (text "INT5" (rect 0 0 23 12)(font "Arial" ))
                (text "INT5" (rect 153 344 176 356)(font "Arial" ))
                (line (pt 184 352)(pt 200 352)(line_width 1))
        )
        (port
                (pt 200 336)
                (input)
                (text "INT6" (rect 0 0 23 12)(font "Arial" ))
                (text "INT6" (rect 153 328 176 340)(font "Arial" ))
                (line (pt 184 336)(pt 200 336)(line_width 1))
        )
        (port
                (pt 200 320)
                (input)
                (text "INT7" (rect 0 0 23 12)(font "Arial" ))
                (text "INT7" (rect 153 312 176 324)(font "Arial" ))
                (line (pt 184 320)(pt 200 320)(line_width 1))
        )
        (port
                (pt 0 144)
                (input)
                (text "DBG_RX" (rect 0 0 43 12)(font "Arial" ))
                (text "DBG_RX" (rect 21 139 64 151)(font "Arial" ))
                (line (pt 0 144)(pt 16 144)(line_width 1))
        )
        (port
                (pt 0 416)
                (input)
                (text "PAIN[7..0]" (rect 0 0 51 12)(font "Arial" ))
                (text "PAIN[7..0]" (rect 21 411 72 423)(font "Arial" ))
                (line (pt 0 416)(pt 16 416)(line_width 3))
        )
        (port
                (pt 0 32)
                (input)
                (text "CLK" (rect 0 0 21 12)(font "Arial" ))
                (text "CLK" (rect 21 27 42 39)(font "Arial" ))
                (line (pt 0 32)(pt 16 32)(line_width 1))
        )
        (port
                (pt 0 48)
                (input)
                (text "RESET" (rect 0 0 35 12)(font "Arial" ))
                (text "RESET" (rect 21 43 56 55)(font "Arial" ))
                (line (pt 0 48)(pt 16 48)(line_width 1))
        )
        (port
                (pt 200 48)
                (output)
                (text "IAB[15..0]" (rect 24 0 73 12)(font "Arial" ))
                (text "IAB[15..0]" (rect 127 40 176 52)(font "Arial" ))
                (line (pt 200 48)(pt 184 48)(line_width 3))
        )
        (port
                (pt 200 64)
                (output)
                (text "IWDB[7..0]" (rect 24 0 79 12)(font "Arial" ))
                (text "IWDB[7..0]" (rect 121 56 176 68)(font "Arial" ))
                (line (pt 200 64)(pt 184 64)(line_width 3))
        )
        (port
                (pt 200 128)
                (output)
                (text "FRAB[11..0]" (rect 24 0 85 12)(font "Arial" ))
                (text "FRAB[11..0]" (rect 115 120 176 132)(font "Arial" ))
                (line (pt 200 128)(pt 184 128)(line_width 3))
        )
        (port
                (pt 200 144)
                (output)
                (text "FRODB[7..0]" (rect 24 0 88 12)(font "Arial" ))
                (text "FRODB[7..0]" (rect 112 136 176 148)(font "Arial" ))
                (line (pt 200 144)(pt 184 144)(line_width 3))
        )
        (port
                (pt 200 208)
                (output)
                (text "RODB[7..0]" (rect 24 0 81 12)(font "Arial" ))
                (text "RODB[7..0]" (rect 119 200 176 212)(font "Arial" ))
                (line (pt 200 208)(pt 184 208)(line_width 3))
        )
        (port
                (pt 200 96)
                (output)
                (text "PGM_WR" (rect 24 0 72 12)(font "Arial" ))
                (text "PGM_WR" (rect 128 88 176 100)(font "Arial" ))
                (line (pt 200 96)(pt 184 96)(line_width 1))
        )
        (port
                (pt 200 192)
                (output)
                (text "WR" (rect 24 0 42 12)(font "Arial" ))
                (text "WR" (rect 158 184 176 196)(font "Arial" ))
                (line (pt 200 192)(pt 184 192)(line_width 1))
        )
        (port
                (pt 200 240)
                (output)
                (text "REG_SEL" (rect 24 0 73 12)(font "Arial" ))
                (text "REG_SEL" (rect 127 232 176 244)(font "Arial" ))
                (line (pt 200 240)(pt 184 240)(line_width 1))
        )
        (port
                (pt 200 176)
                (output)
                (text "MEM_SEL" (rect 24 0 73 12)(font "Arial" ))
                (text "MEM_SEL" (rect 127 168 176 180)(font "Arial" ))
                (line (pt 200 176)(pt 184 176)(line_width 1))
        )
        (port
                (pt 0 128)
                (output)
                (text "DBG_TX" (rect 24 0 65 12)(font "Arial" ))
                (text "DBG_TX" (rect 24 120 65 132)(font "Arial" ))
                (line (pt 16 128)(pt 0 128)(line_width 1))
        )
        (port
                (pt 0 432)
                (output)
                (text "PAOUT[7..0]" (rect 24 0 86 12)(font "Arial" ))
                (text "PAOUT[7..0]" (rect 24 424 86 436)(font "Arial" ))
                (line (pt 16 432)(pt 0 432)(line_width 3))
        )
        (port
                (pt 200 256)
                (output)
                (text "CLK_OUT" (rect 24 0 73 12)(font "Arial" ))
                (text "CLK_OUT" (rect 127 248 176 260)(font "Arial" ))
                (line (pt 200 256)(pt 184 256)(line_width 1))
        )
        (port
                (pt 200 32)
                (output)
                (text "CLK_OUTN" (rect 24 0 81 12)(font "Arial" ))
                (text "CLK_OUTN" (rect 119 24 176 36)(font "Arial" ))
                (line (pt 200 32)(pt 184 32)(line_width 1))
        )
        (port
                (pt 200 288)
                (output)
                (text "STOP" (rect 24 0 52 12)(font "Arial" ))
                (text "STOP" (rect 148 280 176 292)(font "Arial" ))
                (line (pt 200 288)(pt 184 288)(line_width 1))
        )
        (port
                (pt 200 272)
                (output)
                (text "RESET_OUT" (rect 24 0 87 12)(font "Arial" ))
                (text "RESET_OUT" (rect 113 264 176 276)(font "Arial" ))
                (line (pt 200 272)(pt 184 272)(line_width 1))
        )
        (drawing
                (rectangle (rect 16 16 184 448)(line_width 1))
        )
)
(symbol
        (rect 888 48 936 112)
        (text "AND2" (rect 0 39 10 63)(font "Arial" (font_size 6))(vertical))
        (text "inst8" (rect 37 38 49 61)(font "Arial" )(vertical))
        (port
                (pt 16 64)
                (input)
                (text "IN1" (rect 2 7 19 19)(font "Courier New" (bold))(invisible))
                (text "IN1" (rect 7 45 19 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 16 64)(pt 16 50)(line_width 1))
        )
        (port
                (pt 32 64)
                (input)
                (text "IN2" (rect 2 23 19 35)(font "Courier New" (bold))(invisible))
                (text "IN2" (rect 23 45 35 62)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 32 64)(pt 32 50)(line_width 1))
        )
        (port
                (pt 24 0)
                (output)
                (text "OUT" (rect 48 15 65 27)(font "Courier New" (bold))(invisible))
                (text "OUT" (rect 15 -1 27 16)(font "Courier New" (bold))(vertical)(invisible))
                (line (pt 24 22)(pt 24 0)(line_width 1))
        )
        (drawing
                (line (pt 12 50)(pt 12 34)(line_width 1))
                (line (pt 37 50)(pt 37 33)(line_width 1))
                (line (pt 12 50)(pt 37 50)(line_width 1))
                (arc (pt 37 33)(pt 12 34)(rect 12 21 37 46)(line_width 1))
        )
        (rotate90)
)
(symbol
        (rect 1000 -24 1256 88)
        (text "altsyncram1" (rect 168 0 251 16)(font "Arial" (font_size 10)))
        (text "File_Registers" (rect 8 100 78 112)(font "Arial" ))
        (port
                (pt 0 80)
                (input)
                (text "data[7..0]" (rect 0 0 53 14)(font "Arial" (font_size 8)))
                (text "data[7..0]" (rect 4 79 57 93)(font "Arial" (font_size 8)))
                (line (pt 0 80)(pt 112 80)(line_width 3))
        )
        (port
                (pt 0 64)
                (input)
                (text "address[10..0]" (rect 0 0 82 14)(font "Arial" (font_size 8)))
                (text "address[10..0]" (rect 4 63 86 77)(font "Arial" (font_size 8)))
                (line (pt 0 64)(pt 112 64)(line_width 3))
        )
        (port
                (pt 0 48)
                (input)
                (text "wren" (rect 0 0 30 14)(font "Arial" (font_size 8)))
                (text "wren" (rect 4 47 34 61)(font "Arial" (font_size 8)))
                (line (pt 0 48)(pt 112 48)(line_width 1))
        )
        (port
                (pt 0 24)
                (input)
                (text "clock" (rect 0 0 29 14)(font "Arial" (font_size 8)))
                (text "clock" (rect 4 23 33 37)(font "Arial" (font_size 8)))
                (line (pt 0 24)(pt 104 24)(line_width 1))
        )
        (port
                (pt 256 80)
                (output)
                (text "q[7..0]" (rect 0 0 35 14)(font "Arial" (font_size 8)))
                (text "q[7..0]" (rect 223 79 258 93)(font "Arial" (font_size 8)))
                (line (pt 256 80)(pt 168 80)(line_width 3))
        )
        (drawing
                (text "2048 Word(s)" (rect 136 22 148 88)(font "Arial" )(vertical))
                (text "RAM" (rect 149 47 161 70)(font "Arial" )(vertical))
                (text "Block Type: AUTO" (rect 41 8 133 20)(font "Arial" ))
                (line (pt 128 88)(pt 168 88)(line_width 1))
                (line (pt 168 88)(pt 168 32)(line_width 1))
                (line (pt 168 32)(pt 128 32)(line_width 1))
                (line (pt 128 32)(pt 128 88)(line_width 1))
                (line (pt 112 85)(pt 120 85)(line_width 1))
                (line (pt 120 85)(pt 120 73)(line_width 1))
                (line (pt 120 73)(pt 112 73)(line_width 1))
                (line (pt 112 73)(pt 112 85)(line_width 1))
                (line (pt 112 78)(pt 114 76)(line_width 1))
                (line (pt 114 76)(pt 112 74)(line_width 1))
                (line (pt 104 76)(pt 112 76)(line_width 1))
                (line (pt 120 80)(pt 128 80)(line_width 3))
                (line (pt 112 69)(pt 120 69)(line_width 1))
                (line (pt 120 69)(pt 120 57)(line_width 1))
                (line (pt 120 57)(pt 112 57)(line_width 1))
                (line (pt 112 57)(pt 112 69)(line_width 1))
                (line (pt 112 62)(pt 114 60)(line_width 1))
                (line (pt 114 60)(pt 112 58)(line_width 1))
                (line (pt 104 60)(pt 112 60)(line_width 1))
                (line (pt 120 64)(pt 128 64)(line_width 3))
                (line (pt 112 53)(pt 120 53)(line_width 1))
                (line (pt 120 53)(pt 120 41)(line_width 1))
                (line (pt 120 41)(pt 112 41)(line_width 1))
                (line (pt 112 41)(pt 112 53)(line_width 1))
                (line (pt 112 46)(pt 114 44)(line_width 1))
                (line (pt 114 44)(pt 112 42)(line_width 1))
                (line (pt 104 44)(pt 112 44)(line_width 1))
                (line (pt 120 48)(pt 128 48)(line_width 1))
                (line (pt 104 76)(pt 104 23)(line_width 1))
        )
        (flipx)
)
(symbol
        (rect 984 240 1160 496)
        (text "fpz8_timer" (rect 5 0 56 12)(font "Arial" ))
        (text "inst3" (rect 8 240 31 252)(font "Arial" ))
        (port
                (pt 0 48)
                (input)
                (text "RAB[11..0]" (rect 0 0 54 12)(font "Arial" ))
                (text "RAB[11..0]" (rect 21 40 75 52)(font "Arial" ))
                (line (pt 0 48)(pt 16 48)(line_width 3))
        )
        (port
                (pt 0 64)
                (input)
                (text "RIDB[7..0]" (rect 0 0 53 12)(font "Arial" ))
                (text "RIDB[7..0]" (rect 21 56 74 68)(font "Arial" ))
                (line (pt 0 64)(pt 16 64)(line_width 3))
        )
        (port
                (pt 176 80)
                (input)
                (text "SIDB[7..0]" (rect 0 0 51 12)(font "Arial" ))
                (text "SIDB[7..0]" (rect 101 72 152 84)(font "Arial" ))
                (line (pt 160 80)(pt 176 80)(line_width 3))
        )
        (port
                (pt 0 96)
                (input)
                (text "REG_SEL" (rect 0 0 49 12)(font "Arial" ))
                (text "REG_SEL" (rect 21 88 70 100)(font "Arial" ))
                (line (pt 0 96)(pt 16 96)(line_width 1))
        )
        (port
                (pt 0 32)
                (input)
                (text "WR" (rect 0 0 18 12)(font "Arial" ))
                (text "WR" (rect 21 24 39 36)(font "Arial" ))
                (line (pt 0 32)(pt 16 32)(line_width 1))
        )
        (port
                (pt 0 112)
                (input)
                (text "CLK_IN" (rect 0 0 38 12)(font "Arial" ))
                (text "CLK_IN" (rect 21 104 59 116)(font "Arial" ))
                (line (pt 0 112)(pt 16 112)(line_width 1))
        )
        (port
                (pt 0 144)
                (input)
                (text "STOP" (rect 0 0 28 12)(font "Arial" ))
                (text "STOP" (rect 21 136 49 148)(font "Arial" ))
                (line (pt 0 144)(pt 16 144)(line_width 1))
        )
        (port
                (pt 176 216)
                (input)
                (text "TMR_IN" (rect 0 0 40 12)(font "Arial" ))
                (text "TMR_IN" (rect 112 208 152 220)(font "Arial" ))
                (line (pt 160 216)(pt 176 216)(line_width 1))
        )
        (port
                (pt 176 32)
                (input)
                (text "TMR_ID[1..0]" (rect 0 0 66 12)(font "Arial" ))
                (text "TMR_ID[1..0]" (rect 86 24 152 36)(font "Arial" ))
                (line (pt 160 32)(pt 176 32)(line_width 3))
        )
        (port
                (pt 0 128)
                (input)
                (text "RESET" (rect 0 0 35 12)(font "Arial" ))
                (text "RESET" (rect 21 120 56 132)(font "Arial" ))
                (line (pt 0 128)(pt 16 128)(line_width 1))
        )
        (port
                (pt 0 80)
                (output)
                (text "RODB[7..0]" (rect 0 0 57 12)(font "Arial" ))
                (text "RODB[7..0]" (rect 24 72 81 84)(font "Arial" ))
                (line (pt 16 80)(pt 0 80)(line_width 3))
        )
        (port
                (pt 0 216)
                (output)
                (text "INT" (rect 0 0 17 12)(font "Arial" ))
                (text "INT" (rect 24 208 41 220)(font "Arial" ))
                (line (pt 16 216)(pt 0 216)(line_width 1))
        )
        (port
                (pt 176 200)
                (output)
                (text "TMR_OUT" (rect 0 0 50 12)(font "Arial" ))
                (text "TMR_OUT" (rect 105 195 155 207)(font "Arial" ))
                (line (pt 176 200)(pt 160 200)(line_width 1))
        )
        (drawing
                (rectangle (rect 16 16 160 232)(line_width 1))
        )
)
(symbol
        (rect 1184 280 1216 312)
        (text "GND" (rect 8 16 29 26)(font "Arial" (font_size 6)))
        (text "inst4" (rect 3 21 26 33)(font "Arial" )(invisible))
        (port
                (pt 16 0)
                (output)
                (text "1" (rect 18 0 23 12)(font "Courier New" (bold))(invisible))
                (text "1" (rect 18 0 23 12)(font "Courier New" (bold))(invisible))
                (line (pt 16 8)(pt 16 0)(line_width 1))
        )
        (drawing
                (line (pt 8 8)(pt 16 16)(line_width 1))
                (line (pt 16 16)(pt 24 8)(line_width 1))
                (line (pt 8 8)(pt 24 8)(line_width 1))
        )
)
(connector
        (pt 280 72)
        (pt 208 72)
)
(connector
        (pt 280 88)
        (pt 208 88)
)
(connector
        (pt 216 472)
        (pt 280 472)
        (bus)
)
(connector
        (pt 280 168)
        (pt 216 168)
)
(connector
        (pt 280 184)
        (pt 208 184)
)
(connector
        (pt 1000 0)
        (pt 984 0)
)
(connector
        (pt 984 0)
        (pt 984 -48)
)
(connector
        (pt 984 -48)
        (pt 504 -48)
)
(connector
        (pt 504 72)
        (pt 480 72)
)
(connector
        (pt 608 0)
        (pt 504 0)
)
(connector
        (pt 504 -48)
        (pt 504 0)
)
(connector
        (pt 504 0)
        (pt 504 72)
)
(connector
        (pt 480 120)
        (pt 880 120)
        (bus)
)
(connector
        (pt 880 120)
        (pt 880 56)
        (bus)
)
(connector
        (pt 880 56)
        (pt 864 56)
        (bus)
)
(connector
        (pt 480 136)
        (pt 584 136)
)
(connector
        (pt 584 136)
        (pt 584 24)
)
(connector
        (pt 608 24)
        (pt 584 24)
)
(connector
        (pt 480 104)
        (pt 552 104)
        (bus)
)
(connector
        (pt 552 104)
        (pt 552 56)
        (bus)
)
(connector
        (pt 608 56)
        (pt 552 56)
        (bus)
)
(connector
        (pt 536 88)
        (pt 536 40)
        (bus)
)
(connector
        (text "IAB[15..0]" (rect 480 72 529 84)(font "Arial" ))
        (pt 480 88)
        (pt 536 88)
        (bus)
)
(connector
        (text "IAB[13..0]" (rect 530 24 579 36)(font "Arial" ))
        (pt 608 40)
        (pt 536 40)
        (bus)
)
(connector
        (pt 480 216)
        (pt 904 216)
)
(connector
        (pt 904 216)
        (pt 904 112)
)
(connector
        (pt 480 232)
        (pt 920 232)
)
(connector
        (pt 1000 24)
        (pt 912 24)
)
(connector
        (pt 912 24)
        (pt 912 48)
)
(connector
        (pt 480 184)
        (pt 992 184)
        (bus)
)
(connector
        (pt 992 184)
        (pt 992 56)
        (bus)
)
(connector
        (pt 992 56)
        (pt 1000 56)
        (bus)
)
(connector
        (text "FRAB[10..0]" (rect 930 24 991 36)(font "Arial" ))
        (pt 1000 40)
        (pt 976 40)
        (bus)
)
(connector
        (pt 976 40)
        (pt 976 168)
        (bus)
)
(connector
        (pt 480 200)
        (pt 1272 200)
        (bus)
)
(connector
        (pt 1272 200)
        (pt 1272 56)
        (bus)
)
(connector
        (pt 1272 56)
        (pt 1256 56)
        (bus)
)
(connector
        (pt 480 248)
        (pt 872 248)
        (bus)
)
(connector
        (pt 480 264)
        (pt 856 264)
        (bus)
)
(connector
        (pt 480 280)
        (pt 840 280)
)
(connector
        (pt 480 296)
        (pt 824 296)
)
(connector
        (pt 480 312)
        (pt 808 312)
)
(connector
        (pt 480 328)
        (pt 792 328)
)
(connector
        (pt 776 360)
        (pt 480 360)
)
(connector
        (pt 888 168)
        (pt 888 288)
        (bus)
)
(connector
        (pt 872 248)
        (pt 872 304)
        (bus)
)
(connector
        (pt 856 264)
        (pt 856 320)
        (bus)
)
(connector
        (pt 840 280)
        (pt 840 336)
)
(connector
        (pt 824 296)
        (pt 824 352)
)
(connector
        (pt 808 312)
        (pt 808 368)
)
(connector
        (pt 792 328)
        (pt 792 384)
)
(connector
        (pt 920 112)
        (pt 920 232)
)
(connector
        (pt 920 232)
        (pt 920 272)
)
(connector
        (text "FRAB[11..0]" (rect 490 152 551 164)(font "Arial" ))
        (pt 480 168)
        (pt 888 168)
        (bus)
)
(connector
        (pt 888 168)
        (pt 976 168)
        (bus)
)
(connector
        (pt 888 288)
        (pt 984 288)
        (bus)
)
(connector
        (pt 872 304)
        (pt 984 304)
        (bus)
)
(connector
        (pt 840 336)
        (pt 984 336)
)
(connector
        (pt 920 272)
        (pt 984 272)
)
(connector
        (pt 824 352)
        (pt 984 352)
)
(connector
        (pt 792 384)
        (pt 984 384)
)
(connector
        (pt 808 368)
        (pt 984 368)
)
(connector
        (pt 856 320)
        (pt 984 320)
        (bus)
)
(connector
        (pt 984 456)
        (pt 776 456)
)
(connector
        (pt 776 360)
        (pt 776 456)
)
(connector
        (pt 1200 272)
        (pt 1160 272)
        (bus)
)
(connector
        (pt 1200 272)
        (pt 1200 280)
        (bus)
)
(junction (pt 504 0))
(junction (pt 920 232))
(junction (pt 888 168))

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.