OpenCores
URL https://opencores.org/ocsvn/fpz8/fpz8/trunk

Subversion Repositories fpz8

[/] [FPz8.tan.rpt] - Rev 2

Compare with Previous | Blame | View Log

Classic Timing Analyzer report for FPz8
Thu Nov 10 23:30:03 2016
Quartus II Version 9.1 Build 350 03/24/2010 Service Pack 2 SJ Web Edition


---------------------
; Table of Contents ;
---------------------
  1. Legal Notice
  2. Timing Analyzer Summary
  3. Timing Analyzer Settings
  4. Clock Settings Summary
  5. Parallel Compilation
  6. Clock Setup: 'CLOCK'
  7. tsu
  8. tco
  9. th
 10. Timing Analyzer Messages



----------------
; Legal Notice ;
----------------
Copyright (C) 1991-2010 Altera Corporation
Your use of Altera Corporation's design tools, logic functions 
and other software and tools, and its AMPP partner logic 
functions, and any output files from any of the foregoing 
(including device programming or simulation files), and any 
associated documentation or information are expressly subject 
to the terms and conditions of the Altera Program License 
Subscription Agreement, Altera MegaCore Function License 
Agreement, or other applicable license agreement, including, 
without limitation, that your use is for the sole purpose of 
programming logic devices manufactured by Altera and sold by 
Altera or its authorized distributors.  Please refer to the 
applicable agreement for further details.



+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Timing Analyzer Summary                                                                                                                                                                                                                                                                           ;
+------------------------------+-------+---------------+----------------------------------+-------------------------------------------------------------------------------------------------------------------+----------------------------------------------+------------+----------+--------------+
; Type                         ; Slack ; Required Time ; Actual Time                      ; From                                                                                                              ; To                                           ; From Clock ; To Clock ; Failed Paths ;
+------------------------------+-------+---------------+----------------------------------+-------------------------------------------------------------------------------------------------------------------+----------------------------------------------+------------+----------+--------------+
; Worst-case tsu               ; N/A   ; None          ; 7.296 ns                         ; RESET                                                                                                             ; fpz8_cpu_v1:inst|\main:DEST_ADDR16[5]        ; --         ; CLOCK    ; 0            ;
; Worst-case tco               ; N/A   ; None          ; 8.415 ns                         ; fpz8_cpu_v1:inst|PAOUT[0]                                                                                         ; PAOUT[0]                                     ; CLOCK      ; --       ; 0            ;
; Worst-case th                ; N/A   ; None          ; -1.011 ns                        ; RESET                                                                                                             ; fpz8_cpu_v1:inst|\main:DBG_CMD.DBG_SEND_REV2 ; --         ; CLOCK    ; 0            ;
; Clock Setup: 'CLOCK'         ; N/A   ; None          ; 23.67 MHz ( period = 42.244 ns ) ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg11 ; fpz8_cpu_v1:inst|\main:PC[12]                ; CLOCK      ; CLOCK    ; 0            ;
; Total number of failed paths ;       ;               ;                                  ;                                                                                                                   ;                                              ;            ;          ; 0            ;
+------------------------------+-------+---------------+----------------------------------+-------------------------------------------------------------------------------------------------------------------+----------------------------------------------+------------+----------+--------------+


+-----------------------------------------------------------------------------------------------------------------------------------------------------+
; Timing Analyzer Settings                                                                                                                            ;
+------------------------------------------------------------------------------------------------------+--------------------+------+----+-------------+
; Option                                                                                               ; Setting            ; From ; To ; Entity Name ;
+------------------------------------------------------------------------------------------------------+--------------------+------+----+-------------+
; Device Name                                                                                          ; EP2C8T144C6        ;      ;    ;             ;
; Timing Models                                                                                        ; Final              ;      ;    ;             ;
; Default hold multicycle                                                                              ; Same as Multicycle ;      ;    ;             ;
; Cut paths between unrelated clock domains                                                            ; On                 ;      ;    ;             ;
; Cut off read during write signal paths                                                               ; On                 ;      ;    ;             ;
; Cut off feedback from I/O pins                                                                       ; On                 ;      ;    ;             ;
; Report Combined Fast/Slow Timing                                                                     ; Off                ;      ;    ;             ;
; Ignore Clock Settings                                                                                ; Off                ;      ;    ;             ;
; Analyze latches as synchronous elements                                                              ; On                 ;      ;    ;             ;
; Enable Recovery/Removal analysis                                                                     ; Off                ;      ;    ;             ;
; Enable Clock Latency                                                                                 ; Off                ;      ;    ;             ;
; Use TimeQuest Timing Analyzer                                                                        ; Off                ;      ;    ;             ;
; Number of source nodes to report per destination node                                                ; 10                 ;      ;    ;             ;
; Number of destination nodes to report                                                                ; 10                 ;      ;    ;             ;
; Number of paths to report                                                                            ; 200                ;      ;    ;             ;
; Report Minimum Timing Checks                                                                         ; Off                ;      ;    ;             ;
; Use Fast Timing Models                                                                               ; Off                ;      ;    ;             ;
; Report IO Paths Separately                                                                           ; Off                ;      ;    ;             ;
; Perform Multicorner Analysis                                                                         ; On                 ;      ;    ;             ;
; Reports the worst-case path for each clock domain and analysis                                       ; Off                ;      ;    ;             ;
; Reports worst-case timing paths for each clock domain and analysis                                   ; On                 ;      ;    ;             ;
; Specifies the maximum number of worst-case timing paths to report for each clock domain and analysis ; 100                ;      ;    ;             ;
; Removes common clock path pessimism (CCPP) during slack computation                                  ; Off                ;      ;    ;             ;
; Output I/O Timing Endpoint                                                                           ; Near End           ;      ;    ;             ;
+------------------------------------------------------------------------------------------------------+--------------------+------+----+-------------+


+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Clock Settings Summary                                                                                                                                                             ;
+-----------------+--------------------+----------+------------------+---------------+--------------+----------+-----------------------+---------------------+--------+--------------+
; Clock Node Name ; Clock Setting Name ; Type     ; Fmax Requirement ; Early Latency ; Late Latency ; Based on ; Multiply Base Fmax by ; Divide Base Fmax by ; Offset ; Phase offset ;
+-----------------+--------------------+----------+------------------+---------------+--------------+----------+-----------------------+---------------------+--------+--------------+
; CLOCK           ;                    ; User Pin ; None             ; 0.000 ns      ; 0.000 ns     ; --       ; N/A                   ; N/A                 ; N/A    ;              ;
+-----------------+--------------------+----------+------------------+---------------+--------------+----------+-----------------------+---------------------+--------+--------------+


Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time.
+-------------------------------------+
; Parallel Compilation                ;
+----------------------------+--------+
; Processors                 ; Number ;
+----------------------------+--------+
; Number detected on machine ; 4      ;
; Maximum allowed            ; 1      ;
+----------------------------+--------+


+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; Clock Setup: 'CLOCK'                                                                                                                                                                                                                                                                                                                                                   ;
+-----------------------------------------+-----------------------------------------------------+--------------------------------------------------------------------------------------------------------------------+---------------------------------------+------------+----------+-----------------------------+---------------------------+-------------------------+
; Slack                                   ; Actual fmax (period)                                ; From                                                                                                               ; To                                    ; From Clock ; To Clock ; Required Setup Relationship ; Required Longest P2P Time ; Actual Longest P2P Time ;
+-----------------------------------------+-----------------------------------------------------+--------------------------------------------------------------------------------------------------------------------+---------------------------------------+------------+----------+-----------------------------+---------------------------+-------------------------+
; N/A                                     ; 23.67 MHz ( period = 42.244 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_we_reg         ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.880 ns               ;
; N/A                                     ; 23.67 MHz ( period = 42.244 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg0   ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.880 ns               ;
; N/A                                     ; 23.67 MHz ( period = 42.244 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg1   ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.880 ns               ;
; N/A                                     ; 23.67 MHz ( period = 42.244 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg2   ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.880 ns               ;
; N/A                                     ; 23.67 MHz ( period = 42.244 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg3   ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.880 ns               ;
; N/A                                     ; 23.67 MHz ( period = 42.244 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg4   ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.880 ns               ;
; N/A                                     ; 23.67 MHz ( period = 42.244 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg5   ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.880 ns               ;
; N/A                                     ; 23.67 MHz ( period = 42.244 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg6   ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.880 ns               ;
; N/A                                     ; 23.67 MHz ( period = 42.244 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg7   ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.880 ns               ;
; N/A                                     ; 23.67 MHz ( period = 42.244 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg8   ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.880 ns               ;
; N/A                                     ; 23.67 MHz ( period = 42.244 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg9   ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.880 ns               ;
; N/A                                     ; 23.67 MHz ( period = 42.244 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg10  ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.880 ns               ;
; N/A                                     ; 23.67 MHz ( period = 42.244 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg11  ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.880 ns               ;
; N/A                                     ; 23.71 MHz ( period = 42.176 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_we_reg         ; fpz8_cpu_v1:inst|\main:PC[10]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.846 ns               ;
; N/A                                     ; 23.71 MHz ( period = 42.176 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg0   ; fpz8_cpu_v1:inst|\main:PC[10]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.846 ns               ;
; N/A                                     ; 23.71 MHz ( period = 42.176 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg1   ; fpz8_cpu_v1:inst|\main:PC[10]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.846 ns               ;
; N/A                                     ; 23.71 MHz ( period = 42.176 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg2   ; fpz8_cpu_v1:inst|\main:PC[10]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.846 ns               ;
; N/A                                     ; 23.71 MHz ( period = 42.176 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg3   ; fpz8_cpu_v1:inst|\main:PC[10]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.846 ns               ;
; N/A                                     ; 23.71 MHz ( period = 42.176 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg4   ; fpz8_cpu_v1:inst|\main:PC[10]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.846 ns               ;
; N/A                                     ; 23.71 MHz ( period = 42.176 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg5   ; fpz8_cpu_v1:inst|\main:PC[10]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.846 ns               ;
; N/A                                     ; 23.71 MHz ( period = 42.176 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg6   ; fpz8_cpu_v1:inst|\main:PC[10]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.846 ns               ;
; N/A                                     ; 23.71 MHz ( period = 42.176 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg7   ; fpz8_cpu_v1:inst|\main:PC[10]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.846 ns               ;
; N/A                                     ; 23.71 MHz ( period = 42.176 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg8   ; fpz8_cpu_v1:inst|\main:PC[10]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.846 ns               ;
; N/A                                     ; 23.71 MHz ( period = 42.176 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg9   ; fpz8_cpu_v1:inst|\main:PC[10]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.846 ns               ;
; N/A                                     ; 23.71 MHz ( period = 42.176 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg10  ; fpz8_cpu_v1:inst|\main:PC[10]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.846 ns               ;
; N/A                                     ; 23.71 MHz ( period = 42.176 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg11  ; fpz8_cpu_v1:inst|\main:PC[10]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.846 ns               ;
; N/A                                     ; 23.73 MHz ( period = 42.138 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_we_reg        ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.850 ns               ;
; N/A                                     ; 23.73 MHz ( period = 42.138 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg0  ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.850 ns               ;
; N/A                                     ; 23.73 MHz ( period = 42.138 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg1  ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.850 ns               ;
; N/A                                     ; 23.73 MHz ( period = 42.138 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg2  ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.850 ns               ;
; N/A                                     ; 23.73 MHz ( period = 42.138 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg3  ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.850 ns               ;
; N/A                                     ; 23.73 MHz ( period = 42.138 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg4  ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.850 ns               ;
; N/A                                     ; 23.73 MHz ( period = 42.138 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg5  ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.850 ns               ;
; N/A                                     ; 23.73 MHz ( period = 42.138 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg6  ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.850 ns               ;
; N/A                                     ; 23.73 MHz ( period = 42.138 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg7  ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.850 ns               ;
; N/A                                     ; 23.73 MHz ( period = 42.138 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg8  ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.850 ns               ;
; N/A                                     ; 23.73 MHz ( period = 42.138 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg9  ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.850 ns               ;
; N/A                                     ; 23.73 MHz ( period = 42.138 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg10 ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.850 ns               ;
; N/A                                     ; 23.73 MHz ( period = 42.138 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg11 ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.850 ns               ;
; N/A                                     ; 23.75 MHz ( period = 42.112 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a3~porta_we_reg         ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.838 ns               ;
; N/A                                     ; 23.75 MHz ( period = 42.112 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a3~porta_address_reg0   ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.838 ns               ;
; N/A                                     ; 23.75 MHz ( period = 42.112 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a3~porta_address_reg1   ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.838 ns               ;
; N/A                                     ; 23.75 MHz ( period = 42.112 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a3~porta_address_reg2   ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.838 ns               ;
; N/A                                     ; 23.75 MHz ( period = 42.112 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a3~porta_address_reg3   ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.838 ns               ;
; N/A                                     ; 23.75 MHz ( period = 42.112 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a3~porta_address_reg4   ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.838 ns               ;
; N/A                                     ; 23.75 MHz ( period = 42.112 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a3~porta_address_reg5   ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.838 ns               ;
; N/A                                     ; 23.75 MHz ( period = 42.112 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a3~porta_address_reg6   ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.838 ns               ;
; N/A                                     ; 23.75 MHz ( period = 42.112 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a3~porta_address_reg7   ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.838 ns               ;
; N/A                                     ; 23.75 MHz ( period = 42.112 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a3~porta_address_reg8   ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.838 ns               ;
; N/A                                     ; 23.75 MHz ( period = 42.112 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a3~porta_address_reg9   ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.838 ns               ;
; N/A                                     ; 23.75 MHz ( period = 42.112 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a3~porta_address_reg10  ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.838 ns               ;
; N/A                                     ; 23.75 MHz ( period = 42.112 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a3~porta_address_reg11  ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.838 ns               ;
; N/A                                     ; 23.77 MHz ( period = 42.070 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_we_reg        ; fpz8_cpu_v1:inst|\main:PC[10]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.816 ns               ;
; N/A                                     ; 23.77 MHz ( period = 42.070 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg0  ; fpz8_cpu_v1:inst|\main:PC[10]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.816 ns               ;
; N/A                                     ; 23.77 MHz ( period = 42.070 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg1  ; fpz8_cpu_v1:inst|\main:PC[10]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.816 ns               ;
; N/A                                     ; 23.77 MHz ( period = 42.070 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg2  ; fpz8_cpu_v1:inst|\main:PC[10]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.816 ns               ;
; N/A                                     ; 23.77 MHz ( period = 42.070 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg3  ; fpz8_cpu_v1:inst|\main:PC[10]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.816 ns               ;
; N/A                                     ; 23.77 MHz ( period = 42.070 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg4  ; fpz8_cpu_v1:inst|\main:PC[10]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.816 ns               ;
; N/A                                     ; 23.77 MHz ( period = 42.070 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg5  ; fpz8_cpu_v1:inst|\main:PC[10]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.816 ns               ;
; N/A                                     ; 23.77 MHz ( period = 42.070 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg6  ; fpz8_cpu_v1:inst|\main:PC[10]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.816 ns               ;
; N/A                                     ; 23.77 MHz ( period = 42.070 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg7  ; fpz8_cpu_v1:inst|\main:PC[10]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.816 ns               ;
; N/A                                     ; 23.77 MHz ( period = 42.070 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg8  ; fpz8_cpu_v1:inst|\main:PC[10]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.816 ns               ;
; N/A                                     ; 23.77 MHz ( period = 42.070 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg9  ; fpz8_cpu_v1:inst|\main:PC[10]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.816 ns               ;
; N/A                                     ; 23.77 MHz ( period = 42.070 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg10 ; fpz8_cpu_v1:inst|\main:PC[10]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.816 ns               ;
; N/A                                     ; 23.77 MHz ( period = 42.070 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg11 ; fpz8_cpu_v1:inst|\main:PC[10]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.816 ns               ;
; N/A                                     ; 23.78 MHz ( period = 42.044 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a3~porta_we_reg         ; fpz8_cpu_v1:inst|\main:PC[10]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.804 ns               ;
; N/A                                     ; 23.78 MHz ( period = 42.044 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a3~porta_address_reg0   ; fpz8_cpu_v1:inst|\main:PC[10]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.804 ns               ;
; N/A                                     ; 23.78 MHz ( period = 42.044 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a3~porta_address_reg1   ; fpz8_cpu_v1:inst|\main:PC[10]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.804 ns               ;
; N/A                                     ; 23.78 MHz ( period = 42.044 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a3~porta_address_reg2   ; fpz8_cpu_v1:inst|\main:PC[10]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.804 ns               ;
; N/A                                     ; 23.78 MHz ( period = 42.044 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a3~porta_address_reg3   ; fpz8_cpu_v1:inst|\main:PC[10]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.804 ns               ;
; N/A                                     ; 23.78 MHz ( period = 42.044 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a3~porta_address_reg4   ; fpz8_cpu_v1:inst|\main:PC[10]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.804 ns               ;
; N/A                                     ; 23.78 MHz ( period = 42.044 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a3~porta_address_reg5   ; fpz8_cpu_v1:inst|\main:PC[10]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.804 ns               ;
; N/A                                     ; 23.78 MHz ( period = 42.044 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a3~porta_address_reg6   ; fpz8_cpu_v1:inst|\main:PC[10]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.804 ns               ;
; N/A                                     ; 23.78 MHz ( period = 42.044 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a3~porta_address_reg7   ; fpz8_cpu_v1:inst|\main:PC[10]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.804 ns               ;
; N/A                                     ; 23.78 MHz ( period = 42.044 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a3~porta_address_reg8   ; fpz8_cpu_v1:inst|\main:PC[10]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.804 ns               ;
; N/A                                     ; 23.78 MHz ( period = 42.044 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a3~porta_address_reg9   ; fpz8_cpu_v1:inst|\main:PC[10]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.804 ns               ;
; N/A                                     ; 23.78 MHz ( period = 42.044 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a3~porta_address_reg10  ; fpz8_cpu_v1:inst|\main:PC[10]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.804 ns               ;
; N/A                                     ; 23.78 MHz ( period = 42.044 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a3~porta_address_reg11  ; fpz8_cpu_v1:inst|\main:PC[10]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.804 ns               ;
; N/A                                     ; 23.80 MHz ( period = 42.022 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_we_reg         ; fpz8_cpu_v1:inst|\main:PC[15]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.780 ns               ;
; N/A                                     ; 23.80 MHz ( period = 42.022 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg0   ; fpz8_cpu_v1:inst|\main:PC[15]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.780 ns               ;
; N/A                                     ; 23.80 MHz ( period = 42.022 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg1   ; fpz8_cpu_v1:inst|\main:PC[15]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.780 ns               ;
; N/A                                     ; 23.80 MHz ( period = 42.022 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg2   ; fpz8_cpu_v1:inst|\main:PC[15]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.780 ns               ;
; N/A                                     ; 23.80 MHz ( period = 42.022 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg3   ; fpz8_cpu_v1:inst|\main:PC[15]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.780 ns               ;
; N/A                                     ; 23.80 MHz ( period = 42.022 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg4   ; fpz8_cpu_v1:inst|\main:PC[15]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.780 ns               ;
; N/A                                     ; 23.80 MHz ( period = 42.022 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg5   ; fpz8_cpu_v1:inst|\main:PC[15]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.780 ns               ;
; N/A                                     ; 23.80 MHz ( period = 42.022 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg6   ; fpz8_cpu_v1:inst|\main:PC[15]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.780 ns               ;
; N/A                                     ; 23.80 MHz ( period = 42.022 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg7   ; fpz8_cpu_v1:inst|\main:PC[15]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.780 ns               ;
; N/A                                     ; 23.80 MHz ( period = 42.022 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg8   ; fpz8_cpu_v1:inst|\main:PC[15]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.780 ns               ;
; N/A                                     ; 23.80 MHz ( period = 42.022 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg9   ; fpz8_cpu_v1:inst|\main:PC[15]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.780 ns               ;
; N/A                                     ; 23.80 MHz ( period = 42.022 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg10  ; fpz8_cpu_v1:inst|\main:PC[15]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.780 ns               ;
; N/A                                     ; 23.80 MHz ( period = 42.022 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg11  ; fpz8_cpu_v1:inst|\main:PC[15]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.780 ns               ;
; N/A                                     ; 23.81 MHz ( period = 42.004 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a29~porta_we_reg        ; fpz8_cpu_v1:inst|\main:DEST_ADDR16[7] ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.750 ns               ;
; N/A                                     ; 23.81 MHz ( period = 42.004 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a29~porta_address_reg0  ; fpz8_cpu_v1:inst|\main:DEST_ADDR16[7] ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.750 ns               ;
; N/A                                     ; 23.81 MHz ( period = 42.004 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a29~porta_address_reg1  ; fpz8_cpu_v1:inst|\main:DEST_ADDR16[7] ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.750 ns               ;
; N/A                                     ; 23.81 MHz ( period = 42.004 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a29~porta_address_reg2  ; fpz8_cpu_v1:inst|\main:DEST_ADDR16[7] ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.750 ns               ;
; N/A                                     ; 23.81 MHz ( period = 42.004 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a29~porta_address_reg3  ; fpz8_cpu_v1:inst|\main:DEST_ADDR16[7] ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.750 ns               ;
; N/A                                     ; 23.81 MHz ( period = 42.004 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a29~porta_address_reg4  ; fpz8_cpu_v1:inst|\main:DEST_ADDR16[7] ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.750 ns               ;
; N/A                                     ; 23.81 MHz ( period = 42.004 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a29~porta_address_reg5  ; fpz8_cpu_v1:inst|\main:DEST_ADDR16[7] ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.750 ns               ;
; N/A                                     ; 23.81 MHz ( period = 42.004 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a29~porta_address_reg6  ; fpz8_cpu_v1:inst|\main:DEST_ADDR16[7] ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.750 ns               ;
; N/A                                     ; 23.81 MHz ( period = 42.004 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a29~porta_address_reg7  ; fpz8_cpu_v1:inst|\main:DEST_ADDR16[7] ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.750 ns               ;
; N/A                                     ; 23.81 MHz ( period = 42.004 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a29~porta_address_reg8  ; fpz8_cpu_v1:inst|\main:DEST_ADDR16[7] ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.750 ns               ;
; N/A                                     ; 23.81 MHz ( period = 42.004 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a29~porta_address_reg9  ; fpz8_cpu_v1:inst|\main:DEST_ADDR16[7] ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.750 ns               ;
; N/A                                     ; 23.81 MHz ( period = 42.004 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a29~porta_address_reg10 ; fpz8_cpu_v1:inst|\main:DEST_ADDR16[7] ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.750 ns               ;
; N/A                                     ; 23.81 MHz ( period = 42.004 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a29~porta_address_reg11 ; fpz8_cpu_v1:inst|\main:DEST_ADDR16[7] ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.750 ns               ;
; N/A                                     ; 23.82 MHz ( period = 41.976 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a29~porta_we_reg        ; fpz8_cpu_v1:inst|MAB[7]               ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.721 ns               ;
; N/A                                     ; 23.82 MHz ( period = 41.976 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a29~porta_address_reg0  ; fpz8_cpu_v1:inst|MAB[7]               ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.721 ns               ;
; N/A                                     ; 23.82 MHz ( period = 41.976 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a29~porta_address_reg1  ; fpz8_cpu_v1:inst|MAB[7]               ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.721 ns               ;
; N/A                                     ; 23.82 MHz ( period = 41.976 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a29~porta_address_reg2  ; fpz8_cpu_v1:inst|MAB[7]               ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.721 ns               ;
; N/A                                     ; 23.82 MHz ( period = 41.976 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a29~porta_address_reg3  ; fpz8_cpu_v1:inst|MAB[7]               ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.721 ns               ;
; N/A                                     ; 23.82 MHz ( period = 41.976 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a29~porta_address_reg4  ; fpz8_cpu_v1:inst|MAB[7]               ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.721 ns               ;
; N/A                                     ; 23.82 MHz ( period = 41.976 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a29~porta_address_reg5  ; fpz8_cpu_v1:inst|MAB[7]               ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.721 ns               ;
; N/A                                     ; 23.82 MHz ( period = 41.976 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a29~porta_address_reg6  ; fpz8_cpu_v1:inst|MAB[7]               ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.721 ns               ;
; N/A                                     ; 23.82 MHz ( period = 41.976 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a29~porta_address_reg7  ; fpz8_cpu_v1:inst|MAB[7]               ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.721 ns               ;
; N/A                                     ; 23.82 MHz ( period = 41.976 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a29~porta_address_reg8  ; fpz8_cpu_v1:inst|MAB[7]               ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.721 ns               ;
; N/A                                     ; 23.82 MHz ( period = 41.976 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a29~porta_address_reg9  ; fpz8_cpu_v1:inst|MAB[7]               ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.721 ns               ;
; N/A                                     ; 23.82 MHz ( period = 41.976 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a29~porta_address_reg10 ; fpz8_cpu_v1:inst|MAB[7]               ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.721 ns               ;
; N/A                                     ; 23.82 MHz ( period = 41.976 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a29~porta_address_reg11 ; fpz8_cpu_v1:inst|MAB[7]               ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.721 ns               ;
; N/A                                     ; 23.83 MHz ( period = 41.960 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_we_reg         ; fpz8_cpu_v1:inst|\main:PC[14]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.749 ns               ;
; N/A                                     ; 23.83 MHz ( period = 41.960 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg0   ; fpz8_cpu_v1:inst|\main:PC[14]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.749 ns               ;
; N/A                                     ; 23.83 MHz ( period = 41.960 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg1   ; fpz8_cpu_v1:inst|\main:PC[14]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.749 ns               ;
; N/A                                     ; 23.83 MHz ( period = 41.960 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg2   ; fpz8_cpu_v1:inst|\main:PC[14]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.749 ns               ;
; N/A                                     ; 23.83 MHz ( period = 41.960 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg3   ; fpz8_cpu_v1:inst|\main:PC[14]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.749 ns               ;
; N/A                                     ; 23.83 MHz ( period = 41.960 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg4   ; fpz8_cpu_v1:inst|\main:PC[14]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.749 ns               ;
; N/A                                     ; 23.83 MHz ( period = 41.960 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg5   ; fpz8_cpu_v1:inst|\main:PC[14]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.749 ns               ;
; N/A                                     ; 23.83 MHz ( period = 41.960 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg6   ; fpz8_cpu_v1:inst|\main:PC[14]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.749 ns               ;
; N/A                                     ; 23.83 MHz ( period = 41.960 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg7   ; fpz8_cpu_v1:inst|\main:PC[14]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.749 ns               ;
; N/A                                     ; 23.83 MHz ( period = 41.960 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg8   ; fpz8_cpu_v1:inst|\main:PC[14]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.749 ns               ;
; N/A                                     ; 23.83 MHz ( period = 41.960 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg9   ; fpz8_cpu_v1:inst|\main:PC[14]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.749 ns               ;
; N/A                                     ; 23.83 MHz ( period = 41.960 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg10  ; fpz8_cpu_v1:inst|\main:PC[14]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.749 ns               ;
; N/A                                     ; 23.83 MHz ( period = 41.960 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg11  ; fpz8_cpu_v1:inst|\main:PC[14]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.749 ns               ;
; N/A                                     ; 23.86 MHz ( period = 41.916 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_we_reg        ; fpz8_cpu_v1:inst|\main:PC[15]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.750 ns               ;
; N/A                                     ; 23.86 MHz ( period = 41.916 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg0  ; fpz8_cpu_v1:inst|\main:PC[15]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.750 ns               ;
; N/A                                     ; 23.86 MHz ( period = 41.916 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg1  ; fpz8_cpu_v1:inst|\main:PC[15]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.750 ns               ;
; N/A                                     ; 23.86 MHz ( period = 41.916 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg2  ; fpz8_cpu_v1:inst|\main:PC[15]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.750 ns               ;
; N/A                                     ; 23.86 MHz ( period = 41.916 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg3  ; fpz8_cpu_v1:inst|\main:PC[15]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.750 ns               ;
; N/A                                     ; 23.86 MHz ( period = 41.916 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg4  ; fpz8_cpu_v1:inst|\main:PC[15]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.750 ns               ;
; N/A                                     ; 23.86 MHz ( period = 41.916 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg5  ; fpz8_cpu_v1:inst|\main:PC[15]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.750 ns               ;
; N/A                                     ; 23.86 MHz ( period = 41.916 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg6  ; fpz8_cpu_v1:inst|\main:PC[15]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.750 ns               ;
; N/A                                     ; 23.86 MHz ( period = 41.916 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg7  ; fpz8_cpu_v1:inst|\main:PC[15]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.750 ns               ;
; N/A                                     ; 23.86 MHz ( period = 41.916 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg8  ; fpz8_cpu_v1:inst|\main:PC[15]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.750 ns               ;
; N/A                                     ; 23.86 MHz ( period = 41.916 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg9  ; fpz8_cpu_v1:inst|\main:PC[15]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.750 ns               ;
; N/A                                     ; 23.86 MHz ( period = 41.916 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg10 ; fpz8_cpu_v1:inst|\main:PC[15]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.750 ns               ;
; N/A                                     ; 23.86 MHz ( period = 41.916 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg11 ; fpz8_cpu_v1:inst|\main:PC[15]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.750 ns               ;
; N/A                                     ; 23.86 MHz ( period = 41.914 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a10~porta_we_reg        ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.711 ns               ;
; N/A                                     ; 23.86 MHz ( period = 41.914 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a10~porta_address_reg0  ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.711 ns               ;
; N/A                                     ; 23.86 MHz ( period = 41.914 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a10~porta_address_reg1  ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.711 ns               ;
; N/A                                     ; 23.86 MHz ( period = 41.914 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a10~porta_address_reg2  ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.711 ns               ;
; N/A                                     ; 23.86 MHz ( period = 41.914 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a10~porta_address_reg3  ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.711 ns               ;
; N/A                                     ; 23.86 MHz ( period = 41.914 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a10~porta_address_reg4  ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.711 ns               ;
; N/A                                     ; 23.86 MHz ( period = 41.914 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a10~porta_address_reg5  ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.711 ns               ;
; N/A                                     ; 23.86 MHz ( period = 41.914 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a10~porta_address_reg6  ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.711 ns               ;
; N/A                                     ; 23.86 MHz ( period = 41.914 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a10~porta_address_reg7  ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.711 ns               ;
; N/A                                     ; 23.86 MHz ( period = 41.914 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a10~porta_address_reg8  ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.711 ns               ;
; N/A                                     ; 23.86 MHz ( period = 41.914 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a10~porta_address_reg9  ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.711 ns               ;
; N/A                                     ; 23.86 MHz ( period = 41.914 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a10~porta_address_reg10 ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.711 ns               ;
; N/A                                     ; 23.86 MHz ( period = 41.914 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a10~porta_address_reg11 ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.711 ns               ;
; N/A                                     ; 23.87 MHz ( period = 41.890 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a3~porta_we_reg         ; fpz8_cpu_v1:inst|\main:PC[15]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.738 ns               ;
; N/A                                     ; 23.87 MHz ( period = 41.890 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a3~porta_address_reg0   ; fpz8_cpu_v1:inst|\main:PC[15]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.738 ns               ;
; N/A                                     ; 23.87 MHz ( period = 41.890 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a3~porta_address_reg1   ; fpz8_cpu_v1:inst|\main:PC[15]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.738 ns               ;
; N/A                                     ; 23.87 MHz ( period = 41.890 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a3~porta_address_reg2   ; fpz8_cpu_v1:inst|\main:PC[15]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.738 ns               ;
; N/A                                     ; 23.87 MHz ( period = 41.890 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a3~porta_address_reg3   ; fpz8_cpu_v1:inst|\main:PC[15]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.738 ns               ;
; N/A                                     ; 23.87 MHz ( period = 41.890 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a3~porta_address_reg4   ; fpz8_cpu_v1:inst|\main:PC[15]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.738 ns               ;
; N/A                                     ; 23.87 MHz ( period = 41.890 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a3~porta_address_reg5   ; fpz8_cpu_v1:inst|\main:PC[15]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.738 ns               ;
; N/A                                     ; 23.87 MHz ( period = 41.890 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a3~porta_address_reg6   ; fpz8_cpu_v1:inst|\main:PC[15]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.738 ns               ;
; N/A                                     ; 23.87 MHz ( period = 41.890 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a3~porta_address_reg7   ; fpz8_cpu_v1:inst|\main:PC[15]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.738 ns               ;
; N/A                                     ; 23.87 MHz ( period = 41.890 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a3~porta_address_reg8   ; fpz8_cpu_v1:inst|\main:PC[15]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.738 ns               ;
; N/A                                     ; 23.87 MHz ( period = 41.890 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a3~porta_address_reg9   ; fpz8_cpu_v1:inst|\main:PC[15]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.738 ns               ;
; N/A                                     ; 23.87 MHz ( period = 41.890 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a3~porta_address_reg10  ; fpz8_cpu_v1:inst|\main:PC[15]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.738 ns               ;
; N/A                                     ; 23.87 MHz ( period = 41.890 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a3~porta_address_reg11  ; fpz8_cpu_v1:inst|\main:PC[15]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.738 ns               ;
; N/A                                     ; 23.89 MHz ( period = 41.864 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a19~porta_we_reg        ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.705 ns               ;
; N/A                                     ; 23.89 MHz ( period = 41.864 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a19~porta_address_reg0  ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.705 ns               ;
; N/A                                     ; 23.89 MHz ( period = 41.864 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a19~porta_address_reg1  ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.705 ns               ;
; N/A                                     ; 23.89 MHz ( period = 41.864 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a19~porta_address_reg2  ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.705 ns               ;
; N/A                                     ; 23.89 MHz ( period = 41.864 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a19~porta_address_reg3  ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.705 ns               ;
; N/A                                     ; 23.89 MHz ( period = 41.864 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a19~porta_address_reg4  ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.705 ns               ;
; N/A                                     ; 23.89 MHz ( period = 41.864 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a19~porta_address_reg5  ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.705 ns               ;
; N/A                                     ; 23.89 MHz ( period = 41.864 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a19~porta_address_reg6  ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.705 ns               ;
; N/A                                     ; 23.89 MHz ( period = 41.864 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a19~porta_address_reg7  ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.705 ns               ;
; N/A                                     ; 23.89 MHz ( period = 41.864 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a19~porta_address_reg8  ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.705 ns               ;
; N/A                                     ; 23.89 MHz ( period = 41.864 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a19~porta_address_reg9  ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.705 ns               ;
; N/A                                     ; 23.89 MHz ( period = 41.864 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a19~porta_address_reg10 ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.705 ns               ;
; N/A                                     ; 23.89 MHz ( period = 41.864 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a19~porta_address_reg11 ; fpz8_cpu_v1:inst|\main:PC[12]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.705 ns               ;
; N/A                                     ; 23.89 MHz ( period = 41.854 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_we_reg        ; fpz8_cpu_v1:inst|\main:PC[14]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.719 ns               ;
; N/A                                     ; 23.89 MHz ( period = 41.854 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg0  ; fpz8_cpu_v1:inst|\main:PC[14]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.719 ns               ;
; N/A                                     ; 23.89 MHz ( period = 41.854 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg1  ; fpz8_cpu_v1:inst|\main:PC[14]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.719 ns               ;
; N/A                                     ; 23.89 MHz ( period = 41.854 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg2  ; fpz8_cpu_v1:inst|\main:PC[14]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.719 ns               ;
; N/A                                     ; 23.89 MHz ( period = 41.854 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg3  ; fpz8_cpu_v1:inst|\main:PC[14]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.719 ns               ;
; N/A                                     ; 23.89 MHz ( period = 41.854 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg4  ; fpz8_cpu_v1:inst|\main:PC[14]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.719 ns               ;
; N/A                                     ; 23.89 MHz ( period = 41.854 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg5  ; fpz8_cpu_v1:inst|\main:PC[14]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.719 ns               ;
; N/A                                     ; 23.89 MHz ( period = 41.854 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg6  ; fpz8_cpu_v1:inst|\main:PC[14]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.719 ns               ;
; N/A                                     ; 23.89 MHz ( period = 41.854 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg7  ; fpz8_cpu_v1:inst|\main:PC[14]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.719 ns               ;
; N/A                                     ; 23.89 MHz ( period = 41.854 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg8  ; fpz8_cpu_v1:inst|\main:PC[14]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.719 ns               ;
; N/A                                     ; 23.89 MHz ( period = 41.854 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg9  ; fpz8_cpu_v1:inst|\main:PC[14]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.719 ns               ;
; N/A                                     ; 23.89 MHz ( period = 41.854 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg10 ; fpz8_cpu_v1:inst|\main:PC[14]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.719 ns               ;
; N/A                                     ; 23.89 MHz ( period = 41.854 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a11~porta_address_reg11 ; fpz8_cpu_v1:inst|\main:PC[14]         ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.719 ns               ;
; N/A                                     ; 23.89 MHz ( period = 41.850 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg6   ; fpz8_cpu_v1:inst|\main:PC[7]          ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.684 ns               ;
; N/A                                     ; 23.89 MHz ( period = 41.850 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg7   ; fpz8_cpu_v1:inst|\main:PC[7]          ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.684 ns               ;
; N/A                                     ; 23.89 MHz ( period = 41.850 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg8   ; fpz8_cpu_v1:inst|\main:PC[7]          ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.684 ns               ;
; N/A                                     ; 23.89 MHz ( period = 41.850 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg9   ; fpz8_cpu_v1:inst|\main:PC[7]          ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.684 ns               ;
; N/A                                     ; 23.89 MHz ( period = 41.850 ns )                    ; altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_address_reg10  ; fpz8_cpu_v1:inst|\main:PC[7]          ; CLOCK      ; CLOCK    ; None                        ; None                      ; 20.684 ns               ;
; Timing analysis restricted to 200 rows. ; To change the limit use Settings (Assignments menu) ;                                                                                                                    ;                                       ;            ;          ;                             ;                           ;                         ;
+-----------------------------------------+-----------------------------------------------------+--------------------------------------------------------------------------------------------------------------------+---------------------------------------+------------+----------+-----------------------------+---------------------------+-------------------------+


+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; tsu                                                                                                                                                                            ;
+-----------------------------------------+-----------------------------------------------------+------------+--------+-----------------------------------------------+----------+
; Slack                                   ; Required tsu                                        ; Actual tsu ; From   ; To                                            ; To Clock ;
+-----------------------------------------+-----------------------------------------------------+------------+--------+-----------------------------------------------+----------+
; N/A                                     ; None                                                ; 7.296 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DEST_ADDR16[5]         ; CLOCK    ;
; N/A                                     ; None                                                ; 7.265 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DEST_ADDR16[4]         ; CLOCK    ;
; N/A                                     ; None                                                ; 6.980 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DEST_ADDR16[2]         ; CLOCK    ;
; N/A                                     ; None                                                ; 6.757 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DEST_ADDR16[1]         ; CLOCK    ;
; N/A                                     ; None                                                ; 6.752 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DEST_ADDR16[7]         ; CLOCK    ;
; N/A                                     ; None                                                ; 6.752 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DEST_ADDR16[0]         ; CLOCK    ;
; N/A                                     ; None                                                ; 6.752 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DEST_ADDR16[3]         ; CLOCK    ;
; N/A                                     ; None                                                ; 6.703 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DEST_ADDR16[10]        ; CLOCK    ;
; N/A                                     ; None                                                ; 6.641 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DEST_ADDR16[15]        ; CLOCK    ;
; N/A                                     ; None                                                ; 6.641 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DEST_ADDR16[14]        ; CLOCK    ;
; N/A                                     ; None                                                ; 6.567 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:RESULT[1]              ; CLOCK    ;
; N/A                                     ; None                                                ; 6.567 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:RESULT[0]              ; CLOCK    ;
; N/A                                     ; None                                                ; 6.567 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:RESULT[3]              ; CLOCK    ;
; N/A                                     ; None                                                ; 6.567 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:RESULT[2]              ; CLOCK    ;
; N/A                                     ; None                                                ; 6.406 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DEST_ADDR16[12]        ; CLOCK    ;
; N/A                                     ; None                                                ; 6.360 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DEST_ADDR16[11]        ; CLOCK    ;
; N/A                                     ; None                                                ; 6.320 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DEST_ADDR16[8]         ; CLOCK    ;
; N/A                                     ; None                                                ; 6.283 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DEST_ADDR[10]          ; CLOCK    ;
; N/A                                     ; None                                                ; 6.283 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DEST_ADDR[9]           ; CLOCK    ;
; N/A                                     ; None                                                ; 6.283 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DEST_ADDR[11]          ; CLOCK    ;
; N/A                                     ; None                                                ; 6.274 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DEST_ADDR16[6]         ; CLOCK    ;
; N/A                                     ; None                                                ; 6.104 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DEST_ADDR16[13]        ; CLOCK    ;
; N/A                                     ; None                                                ; 6.089 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DEST_ADDR16[9]         ; CLOCK    ;
; N/A                                     ; None                                                ; 6.082 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DEST_ADDR[8]           ; CLOCK    ;
; N/A                                     ; None                                                ; 5.468 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DEST_ADDR[7]           ; CLOCK    ;
; N/A                                     ; None                                                ; 5.444 ns   ; RESET  ; fpz8_cpu_v1:inst|CPU_FLAGS.F2                 ; CLOCK    ;
; N/A                                     ; None                                                ; 5.443 ns   ; RESET  ; fpz8_cpu_v1:inst|CPU_FLAGS.H                  ; CLOCK    ;
; N/A                                     ; None                                                ; 5.443 ns   ; RESET  ; fpz8_cpu_v1:inst|CPU_FLAGS.D                  ; CLOCK    ;
; N/A                                     ; None                                                ; 5.402 ns   ; RESET  ; fpz8_cpu_v1:inst|CPU_FLAGS.F1                 ; CLOCK    ;
; N/A                                     ; None                                                ; 5.269 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DEST_ADDR[4]           ; CLOCK    ;
; N/A                                     ; None                                                ; 5.269 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DEST_ADDR[5]           ; CLOCK    ;
; N/A                                     ; None                                                ; 5.269 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DEST_ADDR[6]           ; CLOCK    ;
; N/A                                     ; None                                                ; 4.973 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DEST_ADDR[2]           ; CLOCK    ;
; N/A                                     ; None                                                ; 4.973 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DEST_ADDR[1]           ; CLOCK    ;
; N/A                                     ; None                                                ; 4.973 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DEST_ADDR[3]           ; CLOCK    ;
; N/A                                     ; None                                                ; 4.973 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DEST_ADDR[0]           ; CLOCK    ;
; N/A                                     ; None                                                ; 4.605 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:RESULT[6]              ; CLOCK    ;
; N/A                                     ; None                                                ; 4.372 ns   ; RESET  ; fpz8_cpu_v1:inst|ALU_FLAGS.V                  ; CLOCK    ;
; N/A                                     ; None                                                ; 4.351 ns   ; RESET  ; fpz8_cpu_v1:inst|ALU_FLAGS.C                  ; CLOCK    ;
; N/A                                     ; None                                                ; 4.320 ns   ; RESET  ; fpz8_cpu_v1:inst|ALU_FLAGS.H                  ; CLOCK    ;
; N/A                                     ; None                                                ; 4.234 ns   ; RESET  ; fpz8_cpu_v1:inst|PAOUT[2]                     ; CLOCK    ;
; N/A                                     ; None                                                ; 4.150 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:RESULT[7]              ; CLOCK    ;
; N/A                                     ; None                                                ; 4.150 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:RESULT[5]              ; CLOCK    ;
; N/A                                     ; None                                                ; 4.150 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:RESULT[4]              ; CLOCK    ;
; N/A                                     ; None                                                ; 4.041 ns   ; RESET  ; fpz8_cpu_v1:inst|PAOUT[5]                     ; CLOCK    ;
; N/A                                     ; None                                                ; 3.930 ns   ; RESET  ; fpz8_cpu_v1:inst|FCTL[0]                      ; CLOCK    ;
; N/A                                     ; None                                                ; 3.930 ns   ; RESET  ; fpz8_cpu_v1:inst|PAOUT[0]                     ; CLOCK    ;
; N/A                                     ; None                                                ; 3.921 ns   ; DBG_RX ; fpz8_cpu_v1:inst|RXSYNC2                      ; CLOCK    ;
; N/A                                     ; None                                                ; 3.888 ns   ; RESET  ; fpz8_cpu_v1:inst|FCTL[1]                      ; CLOCK    ;
; N/A                                     ; None                                                ; 3.885 ns   ; RESET  ; fpz8_cpu_v1:inst|ALU_FLAGS.S                  ; CLOCK    ;
; N/A                                     ; None                                                ; 3.885 ns   ; RESET  ; fpz8_cpu_v1:inst|ALU_FLAGS.Z                  ; CLOCK    ;
; N/A                                     ; None                                                ; 3.659 ns   ; RESET  ; fpz8_cpu_v1:inst|PAOUT[7]                     ; CLOCK    ;
; N/A                                     ; None                                                ; 3.655 ns   ; RESET  ; fpz8_cpu_v1:inst|PAOUT[4]                     ; CLOCK    ;
; N/A                                     ; None                                                ; 3.655 ns   ; RESET  ; fpz8_cpu_v1:inst|PAOUT[6]                     ; CLOCK    ;
; N/A                                     ; None                                                ; 3.632 ns   ; RESET  ; fpz8_cpu_v1:inst|PAOUT[1]                     ; CLOCK    ;
; N/A                                     ; None                                                ; 3.632 ns   ; RESET  ; fpz8_cpu_v1:inst|PAOUT[3]                     ; CLOCK    ;
; N/A                                     ; None                                                ; 3.170 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.SIZE[3]       ; CLOCK    ;
; N/A                                     ; None                                                ; 3.170 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.SIZE[2]       ; CLOCK    ;
; N/A                                     ; None                                                ; 3.170 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.SIZE[0]       ; CLOCK    ;
; N/A                                     ; None                                                ; 3.170 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.SIZE[1]       ; CLOCK    ;
; N/A                                     ; None                                                ; 3.170 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.SIZE[7]       ; CLOCK    ;
; N/A                                     ; None                                                ; 3.170 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.SIZE[5]       ; CLOCK    ;
; N/A                                     ; None                                                ; 3.170 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.SIZE[6]       ; CLOCK    ;
; N/A                                     ; None                                                ; 3.170 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.SIZE[4]       ; CLOCK    ;
; N/A                                     ; None                                                ; 3.124 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.SIZE[10]      ; CLOCK    ;
; N/A                                     ; None                                                ; 3.124 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.SIZE[11]      ; CLOCK    ;
; N/A                                     ; None                                                ; 3.124 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.SIZE[8]       ; CLOCK    ;
; N/A                                     ; None                                                ; 3.124 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.SIZE[9]       ; CLOCK    ;
; N/A                                     ; None                                                ; 3.124 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.SIZE[13]      ; CLOCK    ;
; N/A                                     ; None                                                ; 3.124 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.SIZE[12]      ; CLOCK    ;
; N/A                                     ; None                                                ; 3.124 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.SIZE[15]      ; CLOCK    ;
; N/A                                     ; None                                                ; 3.124 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.SIZE[14]      ; CLOCK    ;
; N/A                                     ; None                                                ; 3.042 ns   ; RESET  ; fpz8_cpu_v1:inst|MODB[0]                      ; CLOCK    ;
; N/A                                     ; None                                                ; 3.042 ns   ; RESET  ; fpz8_cpu_v1:inst|MODB[1]                      ; CLOCK    ;
; N/A                                     ; None                                                ; 3.042 ns   ; RESET  ; fpz8_cpu_v1:inst|MODB[6]                      ; CLOCK    ;
; N/A                                     ; None                                                ; 3.042 ns   ; RESET  ; fpz8_cpu_v1:inst|MODB[3]                      ; CLOCK    ;
; N/A                                     ; None                                                ; 2.835 ns   ; RESET  ; fpz8_cpu_v1:inst|MODB[7]                      ; CLOCK    ;
; N/A                                     ; None                                                ; 2.822 ns   ; RESET  ; fpz8_cpu_v1:inst|MODB[4]                      ; CLOCK    ;
; N/A                                     ; None                                                ; 2.822 ns   ; RESET  ; fpz8_cpu_v1:inst|MODB[2]                      ; CLOCK    ;
; N/A                                     ; None                                                ; 2.783 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.BITTIMERX[4]  ; CLOCK    ;
; N/A                                     ; None                                                ; 2.783 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.BITTIMERX[5]  ; CLOCK    ;
; N/A                                     ; None                                                ; 2.783 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.BITTIMERX[3]  ; CLOCK    ;
; N/A                                     ; None                                                ; 2.783 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.BITTIMERX[2]  ; CLOCK    ;
; N/A                                     ; None                                                ; 2.783 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.BITTIMERX[7]  ; CLOCK    ;
; N/A                                     ; None                                                ; 2.783 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.BITTIMERX[6]  ; CLOCK    ;
; N/A                                     ; None                                                ; 2.783 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.BITTIMERX[1]  ; CLOCK    ;
; N/A                                     ; None                                                ; 2.783 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.BITTIMERX[0]  ; CLOCK    ;
; N/A                                     ; None                                                ; 2.771 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.TXSHIFTREG[0] ; CLOCK    ;
; N/A                                     ; None                                                ; 2.771 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.TXSHIFTREG[1] ; CLOCK    ;
; N/A                                     ; None                                                ; 2.771 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.TXCNT[3]      ; CLOCK    ;
; N/A                                     ; None                                                ; 2.771 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.TXCNT[2]      ; CLOCK    ;
; N/A                                     ; None                                                ; 2.771 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.TXCNT[1]      ; CLOCK    ;
; N/A                                     ; None                                                ; 2.771 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.TXCNT[0]      ; CLOCK    ;
; N/A                                     ; None                                                ; 2.771 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.TXSHIFTREG[2] ; CLOCK    ;
; N/A                                     ; None                                                ; 2.771 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.TXSHIFTREG[3] ; CLOCK    ;
; N/A                                     ; None                                                ; 2.771 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.TXSHIFTREG[4] ; CLOCK    ;
; N/A                                     ; None                                                ; 2.771 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.TXSHIFTREG[5] ; CLOCK    ;
; N/A                                     ; None                                                ; 2.771 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.TXSHIFTREG[6] ; CLOCK    ;
; N/A                                     ; None                                                ; 2.771 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.TXSHIFTREG[7] ; CLOCK    ;
; N/A                                     ; None                                                ; 2.563 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[0][1]     ; CLOCK    ;
; N/A                                     ; None                                                ; 2.563 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[0][5]     ; CLOCK    ;
; N/A                                     ; None                                                ; 2.561 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.RDPOS[1]        ; CLOCK    ;
; N/A                                     ; None                                                ; 2.561 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.RDPOS[2]        ; CLOCK    ;
; N/A                                     ; None                                                ; 2.561 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.RDPOS[0]        ; CLOCK    ;
; N/A                                     ; None                                                ; 2.546 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[0][0]     ; CLOCK    ;
; N/A                                     ; None                                                ; 2.546 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[0][2]     ; CLOCK    ;
; N/A                                     ; None                                                ; 2.539 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[5][0]     ; CLOCK    ;
; N/A                                     ; None                                                ; 2.536 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_CMD.DBG_SEND_REV   ; CLOCK    ;
; N/A                                     ; None                                                ; 2.536 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[4][3]     ; CLOCK    ;
; N/A                                     ; None                                                ; 2.536 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[4][5]     ; CLOCK    ;
; N/A                                     ; None                                                ; 2.536 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[4][1]     ; CLOCK    ;
; N/A                                     ; None                                                ; 2.536 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[4][0]     ; CLOCK    ;
; N/A                                     ; None                                                ; 2.536 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[4][4]     ; CLOCK    ;
; N/A                                     ; None                                                ; 2.536 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[4][2]     ; CLOCK    ;
; N/A                                     ; None                                                ; 2.536 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[4][6]     ; CLOCK    ;
; N/A                                     ; None                                                ; 2.536 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[4][7]     ; CLOCK    ;
; N/A                                     ; None                                                ; 2.535 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[5][1]     ; CLOCK    ;
; N/A                                     ; None                                                ; 2.535 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[5][2]     ; CLOCK    ;
; N/A                                     ; None                                                ; 2.535 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[5][5]     ; CLOCK    ;
; N/A                                     ; None                                                ; 2.535 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[5][4]     ; CLOCK    ;
; N/A                                     ; None                                                ; 2.535 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[5][6]     ; CLOCK    ;
; N/A                                     ; None                                                ; 2.535 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[5][7]     ; CLOCK    ;
; N/A                                     ; None                                                ; 2.528 ns   ; RESET  ; fpz8_cpu_v1:inst|MODB[5]                      ; CLOCK    ;
; N/A                                     ; None                                                ; 2.525 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[0][3]     ; CLOCK    ;
; N/A                                     ; None                                                ; 2.525 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[0][7]     ; CLOCK    ;
; N/A                                     ; None                                                ; 2.525 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[0][6]     ; CLOCK    ;
; N/A                                     ; None                                                ; 2.521 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[7][3]     ; CLOCK    ;
; N/A                                     ; None                                                ; 2.521 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[7][5]     ; CLOCK    ;
; N/A                                     ; None                                                ; 2.521 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[7][1]     ; CLOCK    ;
; N/A                                     ; None                                                ; 2.521 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[3][1]     ; CLOCK    ;
; N/A                                     ; None                                                ; 2.521 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[7][0]     ; CLOCK    ;
; N/A                                     ; None                                                ; 2.521 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[3][0]     ; CLOCK    ;
; N/A                                     ; None                                                ; 2.521 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[7][2]     ; CLOCK    ;
; N/A                                     ; None                                                ; 2.521 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[3][2]     ; CLOCK    ;
; N/A                                     ; None                                                ; 2.521 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[7][4]     ; CLOCK    ;
; N/A                                     ; None                                                ; 2.521 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[7][7]     ; CLOCK    ;
; N/A                                     ; None                                                ; 2.521 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[7][6]     ; CLOCK    ;
; N/A                                     ; None                                                ; 2.479 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:PC[8]                  ; CLOCK    ;
; N/A                                     ; None                                                ; 2.442 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:WORD_DATA              ; CLOCK    ;
; N/A                                     ; None                                                ; 2.428 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[5][3]     ; CLOCK    ;
; N/A                                     ; None                                                ; 2.356 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[2][5]     ; CLOCK    ;
; N/A                                     ; None                                                ; 2.356 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[2][1]     ; CLOCK    ;
; N/A                                     ; None                                                ; 2.356 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[2][0]     ; CLOCK    ;
; N/A                                     ; None                                                ; 2.356 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[2][2]     ; CLOCK    ;
; N/A                                     ; None                                                ; 2.356 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[2][7]     ; CLOCK    ;
; N/A                                     ; None                                                ; 2.356 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[2][6]     ; CLOCK    ;
; N/A                                     ; None                                                ; 2.356 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[2][4]     ; CLOCK    ;
; N/A                                     ; None                                                ; 2.352 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.RXSHIFTREG[1] ; CLOCK    ;
; N/A                                     ; None                                                ; 2.352 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.RXSHIFTREG[2] ; CLOCK    ;
; N/A                                     ; None                                                ; 2.352 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.RXSHIFTREG[8] ; CLOCK    ;
; N/A                                     ; None                                                ; 2.352 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.RXSHIFTREG[5] ; CLOCK    ;
; N/A                                     ; None                                                ; 2.352 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.RXSHIFTREG[3] ; CLOCK    ;
; N/A                                     ; None                                                ; 2.352 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.RXSHIFTREG[6] ; CLOCK    ;
; N/A                                     ; None                                                ; 2.352 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.RXSHIFTREG[4] ; CLOCK    ;
; N/A                                     ; None                                                ; 2.352 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.RXSHIFTREG[7] ; CLOCK    ;
; N/A                                     ; None                                                ; 2.322 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[3][7]     ; CLOCK    ;
; N/A                                     ; None                                                ; 2.308 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[0][4]     ; CLOCK    ;
; N/A                                     ; None                                                ; 2.301 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[1][3]     ; CLOCK    ;
; N/A                                     ; None                                                ; 2.301 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[1][1]     ; CLOCK    ;
; N/A                                     ; None                                                ; 2.301 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[1][0]     ; CLOCK    ;
; N/A                                     ; None                                                ; 2.301 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[1][2]     ; CLOCK    ;
; N/A                                     ; None                                                ; 2.301 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[1][5]     ; CLOCK    ;
; N/A                                     ; None                                                ; 2.301 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[1][7]     ; CLOCK    ;
; N/A                                     ; None                                                ; 2.301 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[1][6]     ; CLOCK    ;
; N/A                                     ; None                                                ; 2.301 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[1][4]     ; CLOCK    ;
; N/A                                     ; None                                                ; 2.296 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[2][3]     ; CLOCK    ;
; N/A                                     ; None                                                ; 2.293 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:TEMP_OP[1]             ; CLOCK    ;
; N/A                                     ; None                                                ; 2.293 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:TEMP_OP[2]             ; CLOCK    ;
; N/A                                     ; None                                                ; 2.293 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:TEMP_OP[3]             ; CLOCK    ;
; N/A                                     ; None                                                ; 2.293 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:TEMP_OP[0]             ; CLOCK    ;
; N/A                                     ; None                                                ; 2.275 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:PC[9]                  ; CLOCK    ;
; N/A                                     ; None                                                ; 2.266 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:PC[4]                  ; CLOCK    ;
; N/A                                     ; None                                                ; 2.250 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:PC[13]                 ; CLOCK    ;
; N/A                                     ; None                                                ; 2.243 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[3][3]     ; CLOCK    ;
; N/A                                     ; None                                                ; 2.228 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[3][5]     ; CLOCK    ;
; N/A                                     ; None                                                ; 2.228 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[3][6]     ; CLOCK    ;
; N/A                                     ; None                                                ; 2.228 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[3][4]     ; CLOCK    ;
; N/A                                     ; None                                                ; 2.219 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.TX_DATA[1]    ; CLOCK    ;
; N/A                                     ; None                                                ; 2.219 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.TX_DATA[2]    ; CLOCK    ;
; N/A                                     ; None                                                ; 2.219 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.TX_DATA[3]    ; CLOCK    ;
; N/A                                     ; None                                                ; 2.219 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.TX_DATA[4]    ; CLOCK    ;
; N/A                                     ; None                                                ; 2.219 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:DBG_UART.TX_DATA[5]    ; CLOCK    ;
; N/A                                     ; None                                                ; 2.204 ns   ; RESET  ; fpz8_cpu_v1:inst|FCTL[7]                      ; CLOCK    ;
; N/A                                     ; None                                                ; 2.135 ns   ; RESET  ; fpz8_cpu_v1:inst|FCTL[2]                      ; CLOCK    ;
; N/A                                     ; None                                                ; 2.055 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[6][5]     ; CLOCK    ;
; N/A                                     ; None                                                ; 2.055 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[6][3]     ; CLOCK    ;
; N/A                                     ; None                                                ; 2.055 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[6][0]     ; CLOCK    ;
; N/A                                     ; None                                                ; 2.055 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[6][6]     ; CLOCK    ;
; N/A                                     ; None                                                ; 2.042 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.WRPOS[0]        ; CLOCK    ;
; N/A                                     ; None                                                ; 2.042 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.WRPOS[1]        ; CLOCK    ;
; N/A                                     ; None                                                ; 2.042 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.WRPOS[2]        ; CLOCK    ;
; N/A                                     ; None                                                ; 2.039 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[6][1]     ; CLOCK    ;
; N/A                                     ; None                                                ; 2.039 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[6][4]     ; CLOCK    ;
; N/A                                     ; None                                                ; 2.039 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[6][2]     ; CLOCK    ;
; N/A                                     ; None                                                ; 2.039 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[6][7]     ; CLOCK    ;
; N/A                                     ; None                                                ; 2.015 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.FULL            ; CLOCK    ;
; N/A                                     ; None                                                ; 1.997 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.CNT[2]          ; CLOCK    ;
; N/A                                     ; None                                                ; 1.997 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.CNT[1]          ; CLOCK    ;
; N/A                                     ; None                                                ; 1.997 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:IQUEUE.CNT[0]          ; CLOCK    ;
; N/A                                     ; None                                                ; 1.964 ns   ; RESET  ; fpz8_cpu_v1:inst|\main:PC[10]                 ; CLOCK    ;
; Timing analysis restricted to 200 rows. ; To change the limit use Settings (Assignments menu) ;            ;        ;                                               ;          ;
+-----------------------------------------+-----------------------------------------------------+------------+--------+-----------------------------------------------+----------+


+---------------------------------------------------------------------------------------+
; tco                                                                                   ;
+-------+--------------+------------+---------------------------+----------+------------+
; Slack ; Required tco ; Actual tco ; From                      ; To       ; From Clock ;
+-------+--------------+------------+---------------------------+----------+------------+
; N/A   ; None         ; 8.415 ns   ; fpz8_cpu_v1:inst|PAOUT[0] ; PAOUT[0] ; CLOCK      ;
; N/A   ; None         ; 7.370 ns   ; fpz8_cpu_v1:inst|PAOUT[2] ; PAOUT[2] ; CLOCK      ;
; N/A   ; None         ; 7.246 ns   ; fpz8_cpu_v1:inst|PAOUT[3] ; PAOUT[3] ; CLOCK      ;
; N/A   ; None         ; 7.244 ns   ; fpz8_cpu_v1:inst|PAOUT[7] ; PAOUT[7] ; CLOCK      ;
; N/A   ; None         ; 6.505 ns   ; fpz8_cpu_v1:inst|PAOUT[5] ; PAOUT[5] ; CLOCK      ;
; N/A   ; None         ; 6.394 ns   ; fpz8_cpu_v1:inst|DBG_TX   ; DBG_TX   ; CLOCK      ;
; N/A   ; None         ; 6.379 ns   ; fpz8_cpu_v1:inst|PAOUT[6] ; PAOUT[6] ; CLOCK      ;
; N/A   ; None         ; 6.363 ns   ; fpz8_cpu_v1:inst|PAOUT[4] ; PAOUT[4] ; CLOCK      ;
; N/A   ; None         ; 6.130 ns   ; fpz8_cpu_v1:inst|PAOUT[1] ; PAOUT[1] ; CLOCK      ;
+-------+--------------+------------+---------------------------+----------+------------+


+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+
; th                                                                                                                                                                              ;
+-----------------------------------------+-----------------------------------------------------+-----------+-------+--------------------------------------------------+----------+
; Minimum Slack                           ; Required th                                         ; Actual th ; From  ; To                                               ; To Clock ;
+-----------------------------------------+-----------------------------------------------------+-----------+-------+--------------------------------------------------+----------+
; N/A                                     ; None                                                ; -1.011 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_CMD.DBG_SEND_PC2      ; CLOCK    ;
; N/A                                     ; None                                                ; -1.011 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_CMD.DBG_SEND_REV2     ; CLOCK    ;
; N/A                                     ; None                                                ; -1.018 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.TX_DATA[0]       ; CLOCK    ;
; N/A                                     ; None                                                ; -1.018 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.TX_DATA[6]       ; CLOCK    ;
; N/A                                     ; None                                                ; -1.018 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.TX_DATA[7]       ; CLOCK    ;
; N/A                                     ; None                                                ; -1.028 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_CMD.DBG_WRITE_PC2     ; CLOCK    ;
; N/A                                     ; None                                                ; -1.028 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_CMD.DBG_STEP          ; CLOCK    ;
; N/A                                     ; None                                                ; -1.028 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_CMD.DBG_REG           ; CLOCK    ;
; N/A                                     ; None                                                ; -1.028 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_CMD.DBG_REG2          ; CLOCK    ;
; N/A                                     ; None                                                ; -1.073 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.TX_EMPTY         ; CLOCK    ;
; N/A                                     ; None                                                ; -1.087 ns ; RESET ; fpz8_cpu_v1:inst|\main:TEMP_DATA[0]              ; CLOCK    ;
; N/A                                     ; None                                                ; -1.087 ns ; RESET ; fpz8_cpu_v1:inst|\main:TEMP_DATA[3]              ; CLOCK    ;
; N/A                                     ; None                                                ; -1.147 ns ; RESET ; fpz8_cpu_v1:inst|\main:TEMP_DATA[5]              ; CLOCK    ;
; N/A                                     ; None                                                ; -1.260 ns ; RESET ; fpz8_cpu_v1:inst|\main:PC[3]                     ; CLOCK    ;
; N/A                                     ; None                                                ; -1.260 ns ; RESET ; fpz8_cpu_v1:inst|\main:PC[5]                     ; CLOCK    ;
; N/A                                     ; None                                                ; -1.269 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.RXCNT[3]         ; CLOCK    ;
; N/A                                     ; None                                                ; -1.269 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.RXCNT[2]         ; CLOCK    ;
; N/A                                     ; None                                                ; -1.269 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.RXCNT[0]         ; CLOCK    ;
; N/A                                     ; None                                                ; -1.269 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.RXCNT[1]         ; CLOCK    ;
; N/A                                     ; None                                                ; -1.269 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.BAUDCNTRX[11]    ; CLOCK    ;
; N/A                                     ; None                                                ; -1.269 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.BAUDCNTRX[5]     ; CLOCK    ;
; N/A                                     ; None                                                ; -1.281 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.RX_DONE          ; CLOCK    ;
; N/A                                     ; None                                                ; -1.281 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_CMD.DBG_EXEC          ; CLOCK    ;
; N/A                                     ; None                                                ; -1.281 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_CMD.DBG_STUFF         ; CLOCK    ;
; N/A                                     ; None                                                ; -1.284 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_CMD.DBG_PROGMEM6      ; CLOCK    ;
; N/A                                     ; None                                                ; -1.284 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_CMD.DBG_REG5          ; CLOCK    ;
; N/A                                     ; None                                                ; -1.299 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_CMD.DBG_WRITE_CTRL    ; CLOCK    ;
; N/A                                     ; None                                                ; -1.299 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_CMD.DBG_WRITE_PC      ; CLOCK    ;
; N/A                                     ; None                                                ; -1.299 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_CMD.DBG_EXEC2         ; CLOCK    ;
; N/A                                     ; None                                                ; -1.334 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_CMD.DBG_WRITE_PROGMEM ; CLOCK    ;
; N/A                                     ; None                                                ; -1.334 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_CMD.DBG_WRITE_REG     ; CLOCK    ;
; N/A                                     ; None                                                ; -1.334 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_CMD.DBG_SEND_PC       ; CLOCK    ;
; N/A                                     ; None                                                ; -1.334 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_CMD.DBG_WAIT_CMD      ; CLOCK    ;
; N/A                                     ; None                                                ; -1.334 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_CMD.DBG_SEND_STATUS   ; CLOCK    ;
; N/A                                     ; None                                                ; -1.334 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_CMD.DBG_SEND_CTRL     ; CLOCK    ;
; N/A                                     ; None                                                ; -1.340 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.RX_DATA[0]       ; CLOCK    ;
; N/A                                     ; None                                                ; -1.340 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.WRT              ; CLOCK    ;
; N/A                                     ; None                                                ; -1.340 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.RX_DATA[4]       ; CLOCK    ;
; N/A                                     ; None                                                ; -1.340 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_CMD.DBG_PROGMEM       ; CLOCK    ;
; N/A                                     ; None                                                ; -1.341 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.RX_DATA[7]       ; CLOCK    ;
; N/A                                     ; None                                                ; -1.341 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.RX_DATA[2]       ; CLOCK    ;
; N/A                                     ; None                                                ; -1.341 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.RX_DATA[1]       ; CLOCK    ;
; N/A                                     ; None                                                ; -1.341 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_CMD.DBG_READ_PROGMEM  ; CLOCK    ;
; N/A                                     ; None                                                ; -1.341 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_CMD.DBG_READ_REG      ; CLOCK    ;
; N/A                                     ; None                                                ; -1.341 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.RX_DATA[5]       ; CLOCK    ;
; N/A                                     ; None                                                ; -1.341 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.RX_DATA[3]       ; CLOCK    ;
; N/A                                     ; None                                                ; -1.341 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.RX_DATA[6]       ; CLOCK    ;
; N/A                                     ; None                                                ; -1.345 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_CMD.DBG_PROGMEM4      ; CLOCK    ;
; N/A                                     ; None                                                ; -1.345 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_CMD.DBG_PROGMEM3      ; CLOCK    ;
; N/A                                     ; None                                                ; -1.345 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_CMD.DBG_PROGMEM2      ; CLOCK    ;
; N/A                                     ; None                                                ; -1.345 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_CMD.DBG_REG3          ; CLOCK    ;
; N/A                                     ; None                                                ; -1.349 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_CMD.DBG_REG4          ; CLOCK    ;
; N/A                                     ; None                                                ; -1.349 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_CMD.DBG_PROGMEM5      ; CLOCK    ;
; N/A                                     ; None                                                ; -1.349 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_CMD.DBG_EXEC3         ; CLOCK    ;
; N/A                                     ; None                                                ; -1.360 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.BITTIMETX[8]     ; CLOCK    ;
; N/A                                     ; None                                                ; -1.360 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.BAUDCNTTX[11]    ; CLOCK    ;
; N/A                                     ; None                                                ; -1.360 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.BAUDCNTTX[10]    ; CLOCK    ;
; N/A                                     ; None                                                ; -1.360 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.BAUDCNTTX[9]     ; CLOCK    ;
; N/A                                     ; None                                                ; -1.360 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.BAUDCNTTX[5]     ; CLOCK    ;
; N/A                                     ; None                                                ; -1.360 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.BAUDCNTTX[4]     ; CLOCK    ;
; N/A                                     ; None                                                ; -1.360 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.BAUDCNTTX[8]     ; CLOCK    ;
; N/A                                     ; None                                                ; -1.360 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.BAUDCNTTX[0]     ; CLOCK    ;
; N/A                                     ; None                                                ; -1.360 ns ; RESET ; fpz8_cpu_v1:inst|MEM_SEL                         ; CLOCK    ;
; N/A                                     ; None                                                ; -1.374 ns ; RESET ; fpz8_cpu_v1:inst|\main:LU_INSTRUCTION            ; CLOCK    ;
; N/A                                     ; None                                                ; -1.374 ns ; RESET ; fpz8_cpu_v1:inst|\main:TEMP_DATA[4]              ; CLOCK    ;
; N/A                                     ; None                                                ; -1.374 ns ; RESET ; fpz8_cpu_v1:inst|\main:TEMP_DATA[2]              ; CLOCK    ;
; N/A                                     ; None                                                ; -1.485 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.BAUDPRE[0]       ; CLOCK    ;
; N/A                                     ; None                                                ; -1.485 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.BAUDPRE[1]       ; CLOCK    ;
; N/A                                     ; None                                                ; -1.485 ns ; RESET ; fpz8_cpu_v1:inst|\main:PC[1]                     ; CLOCK    ;
; N/A                                     ; None                                                ; -1.485 ns ; RESET ; fpz8_cpu_v1:inst|\main:PC[0]                     ; CLOCK    ;
; N/A                                     ; None                                                ; -1.485 ns ; RESET ; fpz8_cpu_v1:inst|\main:PC[6]                     ; CLOCK    ;
; N/A                                     ; None                                                ; -1.485 ns ; RESET ; fpz8_cpu_v1:inst|\main:PC[11]                    ; CLOCK    ;
; N/A                                     ; None                                                ; -1.485 ns ; RESET ; fpz8_cpu_v1:inst|\main:PC[15]                    ; CLOCK    ;
; N/A                                     ; None                                                ; -1.485 ns ; RESET ; fpz8_cpu_v1:inst|\main:PC[14]                    ; CLOCK    ;
; N/A                                     ; None                                                ; -1.557 ns ; RESET ; fpz8_cpu_v1:inst|DBG_TX                          ; CLOCK    ;
; N/A                                     ; None                                                ; -1.557 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.DBG_SYNC         ; CLOCK    ;
; N/A                                     ; None                                                ; -1.559 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.BAUDCNTRX[8]     ; CLOCK    ;
; N/A                                     ; None                                                ; -1.559 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.BAUDCNTRX[7]     ; CLOCK    ;
; N/A                                     ; None                                                ; -1.559 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.BAUDCNTRX[3]     ; CLOCK    ;
; N/A                                     ; None                                                ; -1.559 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.BAUDCNTRX[6]     ; CLOCK    ;
; N/A                                     ; None                                                ; -1.559 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.BAUDCNTRX[10]    ; CLOCK    ;
; N/A                                     ; None                                                ; -1.559 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.BAUDCNTRX[9]     ; CLOCK    ;
; N/A                                     ; None                                                ; -1.559 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.BITTIMETX[0]     ; CLOCK    ;
; N/A                                     ; None                                                ; -1.559 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.BAUDCNTRX[2]     ; CLOCK    ;
; N/A                                     ; None                                                ; -1.559 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.BAUDCNTRX[1]     ; CLOCK    ;
; N/A                                     ; None                                                ; -1.559 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.BAUDCNTRX[0]     ; CLOCK    ;
; N/A                                     ; None                                                ; -1.559 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.BAUDCNTRX[4]     ; CLOCK    ;
; N/A                                     ; None                                                ; -1.566 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.BAUDCNTTX[6]     ; CLOCK    ;
; N/A                                     ; None                                                ; -1.566 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.BAUDCNTTX[7]     ; CLOCK    ;
; N/A                                     ; None                                                ; -1.566 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.BAUDCNTTX[2]     ; CLOCK    ;
; N/A                                     ; None                                                ; -1.566 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.BITTIMETX[5]     ; CLOCK    ;
; N/A                                     ; None                                                ; -1.566 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.BITTIMETX[4]     ; CLOCK    ;
; N/A                                     ; None                                                ; -1.566 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.BITTIMETX[1]     ; CLOCK    ;
; N/A                                     ; None                                                ; -1.566 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.BAUDCNTTX[3]     ; CLOCK    ;
; N/A                                     ; None                                                ; -1.566 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.BAUDCNTTX[1]     ; CLOCK    ;
; N/A                                     ; None                                                ; -1.569 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.BITTIMETX[7]     ; CLOCK    ;
; N/A                                     ; None                                                ; -1.569 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.BITTIMETX[3]     ; CLOCK    ;
; N/A                                     ; None                                                ; -1.569 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.BITTIMETX[6]     ; CLOCK    ;
; N/A                                     ; None                                                ; -1.569 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.BITTIMETX[2]     ; CLOCK    ;
; N/A                                     ; None                                                ; -1.603 ns ; RESET ; fpz8_cpu_v1:inst|\main:TEMP_DATA[7]              ; CLOCK    ;
; N/A                                     ; None                                                ; -1.603 ns ; RESET ; fpz8_cpu_v1:inst|\main:TEMP_DATA[1]              ; CLOCK    ;
; N/A                                     ; None                                                ; -1.648 ns ; RESET ; fpz8_cpu_v1:inst|CPU_FLAGS.C                     ; CLOCK    ;
; N/A                                     ; None                                                ; -1.657 ns ; RESET ; fpz8_cpu_v1:inst|\main:TEMP_DATA[6]              ; CLOCK    ;
; N/A                                     ; None                                                ; -1.686 ns ; RESET ; fpz8_cpu_v1:inst|CPU_FLAGS.Z                     ; CLOCK    ;
; N/A                                     ; None                                                ; -1.691 ns ; RESET ; fpz8_cpu_v1:inst|CPU_FLAGS.V                     ; CLOCK    ;
; N/A                                     ; None                                                ; -1.691 ns ; RESET ; fpz8_cpu_v1:inst|CPU_FLAGS.S                     ; CLOCK    ;
; N/A                                     ; None                                                ; -1.698 ns ; RESET ; fpz8_cpu_v1:inst|\main:PC[2]                     ; CLOCK    ;
; N/A                                     ; None                                                ; -1.698 ns ; RESET ; fpz8_cpu_v1:inst|\main:PC[7]                     ; CLOCK    ;
; N/A                                     ; None                                                ; -1.734 ns ; RESET ; fpz8_cpu_v1:inst|\main:PC[10]                    ; CLOCK    ;
; N/A                                     ; None                                                ; -1.734 ns ; RESET ; fpz8_cpu_v1:inst|\main:PC[12]                    ; CLOCK    ;
; N/A                                     ; None                                                ; -1.767 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.CNT[2]             ; CLOCK    ;
; N/A                                     ; None                                                ; -1.767 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.CNT[1]             ; CLOCK    ;
; N/A                                     ; None                                                ; -1.767 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.CNT[0]             ; CLOCK    ;
; N/A                                     ; None                                                ; -1.785 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.FULL               ; CLOCK    ;
; N/A                                     ; None                                                ; -1.809 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[6][1]        ; CLOCK    ;
; N/A                                     ; None                                                ; -1.809 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[6][4]        ; CLOCK    ;
; N/A                                     ; None                                                ; -1.809 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[6][2]        ; CLOCK    ;
; N/A                                     ; None                                                ; -1.809 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[6][7]        ; CLOCK    ;
; N/A                                     ; None                                                ; -1.812 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.WRPOS[0]           ; CLOCK    ;
; N/A                                     ; None                                                ; -1.812 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.WRPOS[1]           ; CLOCK    ;
; N/A                                     ; None                                                ; -1.812 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.WRPOS[2]           ; CLOCK    ;
; N/A                                     ; None                                                ; -1.825 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[6][5]        ; CLOCK    ;
; N/A                                     ; None                                                ; -1.825 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[6][3]        ; CLOCK    ;
; N/A                                     ; None                                                ; -1.825 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[6][0]        ; CLOCK    ;
; N/A                                     ; None                                                ; -1.825 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[6][6]        ; CLOCK    ;
; N/A                                     ; None                                                ; -1.905 ns ; RESET ; fpz8_cpu_v1:inst|FCTL[2]                         ; CLOCK    ;
; N/A                                     ; None                                                ; -1.974 ns ; RESET ; fpz8_cpu_v1:inst|FCTL[7]                         ; CLOCK    ;
; N/A                                     ; None                                                ; -1.989 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.TX_DATA[1]       ; CLOCK    ;
; N/A                                     ; None                                                ; -1.989 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.TX_DATA[2]       ; CLOCK    ;
; N/A                                     ; None                                                ; -1.989 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.TX_DATA[3]       ; CLOCK    ;
; N/A                                     ; None                                                ; -1.989 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.TX_DATA[4]       ; CLOCK    ;
; N/A                                     ; None                                                ; -1.989 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.TX_DATA[5]       ; CLOCK    ;
; N/A                                     ; None                                                ; -1.998 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[3][5]        ; CLOCK    ;
; N/A                                     ; None                                                ; -1.998 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[3][6]        ; CLOCK    ;
; N/A                                     ; None                                                ; -1.998 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[3][4]        ; CLOCK    ;
; N/A                                     ; None                                                ; -2.013 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[3][3]        ; CLOCK    ;
; N/A                                     ; None                                                ; -2.020 ns ; RESET ; fpz8_cpu_v1:inst|\main:PC[13]                    ; CLOCK    ;
; N/A                                     ; None                                                ; -2.036 ns ; RESET ; fpz8_cpu_v1:inst|\main:PC[4]                     ; CLOCK    ;
; N/A                                     ; None                                                ; -2.045 ns ; RESET ; fpz8_cpu_v1:inst|\main:PC[9]                     ; CLOCK    ;
; N/A                                     ; None                                                ; -2.063 ns ; RESET ; fpz8_cpu_v1:inst|\main:TEMP_OP[1]                ; CLOCK    ;
; N/A                                     ; None                                                ; -2.063 ns ; RESET ; fpz8_cpu_v1:inst|\main:TEMP_OP[2]                ; CLOCK    ;
; N/A                                     ; None                                                ; -2.063 ns ; RESET ; fpz8_cpu_v1:inst|\main:TEMP_OP[3]                ; CLOCK    ;
; N/A                                     ; None                                                ; -2.063 ns ; RESET ; fpz8_cpu_v1:inst|\main:TEMP_OP[0]                ; CLOCK    ;
; N/A                                     ; None                                                ; -2.066 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[2][3]        ; CLOCK    ;
; N/A                                     ; None                                                ; -2.071 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[1][3]        ; CLOCK    ;
; N/A                                     ; None                                                ; -2.071 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[1][1]        ; CLOCK    ;
; N/A                                     ; None                                                ; -2.071 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[1][0]        ; CLOCK    ;
; N/A                                     ; None                                                ; -2.071 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[1][2]        ; CLOCK    ;
; N/A                                     ; None                                                ; -2.071 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[1][5]        ; CLOCK    ;
; N/A                                     ; None                                                ; -2.071 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[1][7]        ; CLOCK    ;
; N/A                                     ; None                                                ; -2.071 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[1][6]        ; CLOCK    ;
; N/A                                     ; None                                                ; -2.071 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[1][4]        ; CLOCK    ;
; N/A                                     ; None                                                ; -2.078 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[0][4]        ; CLOCK    ;
; N/A                                     ; None                                                ; -2.092 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[3][7]        ; CLOCK    ;
; N/A                                     ; None                                                ; -2.122 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.RXSHIFTREG[1]    ; CLOCK    ;
; N/A                                     ; None                                                ; -2.122 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.RXSHIFTREG[2]    ; CLOCK    ;
; N/A                                     ; None                                                ; -2.122 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.RXSHIFTREG[8]    ; CLOCK    ;
; N/A                                     ; None                                                ; -2.122 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.RXSHIFTREG[5]    ; CLOCK    ;
; N/A                                     ; None                                                ; -2.122 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.RXSHIFTREG[3]    ; CLOCK    ;
; N/A                                     ; None                                                ; -2.122 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.RXSHIFTREG[6]    ; CLOCK    ;
; N/A                                     ; None                                                ; -2.122 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.RXSHIFTREG[4]    ; CLOCK    ;
; N/A                                     ; None                                                ; -2.122 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_UART.RXSHIFTREG[7]    ; CLOCK    ;
; N/A                                     ; None                                                ; -2.126 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[2][5]        ; CLOCK    ;
; N/A                                     ; None                                                ; -2.126 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[2][1]        ; CLOCK    ;
; N/A                                     ; None                                                ; -2.126 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[2][0]        ; CLOCK    ;
; N/A                                     ; None                                                ; -2.126 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[2][2]        ; CLOCK    ;
; N/A                                     ; None                                                ; -2.126 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[2][7]        ; CLOCK    ;
; N/A                                     ; None                                                ; -2.126 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[2][6]        ; CLOCK    ;
; N/A                                     ; None                                                ; -2.126 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[2][4]        ; CLOCK    ;
; N/A                                     ; None                                                ; -2.198 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[5][3]        ; CLOCK    ;
; N/A                                     ; None                                                ; -2.212 ns ; RESET ; fpz8_cpu_v1:inst|\main:WORD_DATA                 ; CLOCK    ;
; N/A                                     ; None                                                ; -2.249 ns ; RESET ; fpz8_cpu_v1:inst|\main:PC[8]                     ; CLOCK    ;
; N/A                                     ; None                                                ; -2.291 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[7][3]        ; CLOCK    ;
; N/A                                     ; None                                                ; -2.291 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[7][5]        ; CLOCK    ;
; N/A                                     ; None                                                ; -2.291 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[7][1]        ; CLOCK    ;
; N/A                                     ; None                                                ; -2.291 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[3][1]        ; CLOCK    ;
; N/A                                     ; None                                                ; -2.291 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[7][0]        ; CLOCK    ;
; N/A                                     ; None                                                ; -2.291 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[3][0]        ; CLOCK    ;
; N/A                                     ; None                                                ; -2.291 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[7][2]        ; CLOCK    ;
; N/A                                     ; None                                                ; -2.291 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[3][2]        ; CLOCK    ;
; N/A                                     ; None                                                ; -2.291 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[7][4]        ; CLOCK    ;
; N/A                                     ; None                                                ; -2.291 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[7][7]        ; CLOCK    ;
; N/A                                     ; None                                                ; -2.291 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[7][6]        ; CLOCK    ;
; N/A                                     ; None                                                ; -2.295 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[0][3]        ; CLOCK    ;
; N/A                                     ; None                                                ; -2.295 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[0][7]        ; CLOCK    ;
; N/A                                     ; None                                                ; -2.295 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[0][6]        ; CLOCK    ;
; N/A                                     ; None                                                ; -2.298 ns ; RESET ; fpz8_cpu_v1:inst|MODB[5]                         ; CLOCK    ;
; N/A                                     ; None                                                ; -2.305 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[5][1]        ; CLOCK    ;
; N/A                                     ; None                                                ; -2.305 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[5][2]        ; CLOCK    ;
; N/A                                     ; None                                                ; -2.305 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[5][5]        ; CLOCK    ;
; N/A                                     ; None                                                ; -2.305 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[5][4]        ; CLOCK    ;
; N/A                                     ; None                                                ; -2.305 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[5][6]        ; CLOCK    ;
; N/A                                     ; None                                                ; -2.305 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[5][7]        ; CLOCK    ;
; N/A                                     ; None                                                ; -2.306 ns ; RESET ; fpz8_cpu_v1:inst|\main:DBG_CMD.DBG_SEND_REV      ; CLOCK    ;
; N/A                                     ; None                                                ; -2.306 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[4][3]        ; CLOCK    ;
; N/A                                     ; None                                                ; -2.306 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[4][5]        ; CLOCK    ;
; N/A                                     ; None                                                ; -2.306 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[4][1]        ; CLOCK    ;
; N/A                                     ; None                                                ; -2.306 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[4][0]        ; CLOCK    ;
; N/A                                     ; None                                                ; -2.306 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[4][4]        ; CLOCK    ;
; N/A                                     ; None                                                ; -2.306 ns ; RESET ; fpz8_cpu_v1:inst|\main:IQUEUE.QUEUE[4][2]        ; CLOCK    ;
; Timing analysis restricted to 200 rows. ; To change the limit use Settings (Assignments menu) ;           ;       ;                                                  ;          ;
+-----------------------------------------+-----------------------------------------------------+-----------+-------+--------------------------------------------------+----------+


+--------------------------+
; Timing Analyzer Messages ;
+--------------------------+
Info: *******************************************************************
Info: Running Quartus II Classic Timing Analyzer
    Info: Version 9.1 Build 350 03/24/2010 Service Pack 2 SJ Web Edition
    Info: Processing started: Thu Nov 10 23:30:00 2016
Info: Command: quartus_tan --read_settings_files=off --write_settings_files=off FPz8 -c FPz8 --timing_analysis_only
Warning: Found pins functioning as undefined clocks and/or memory enables
    Info: Assuming node "CLOCK" is an undefined clock
Info: Clock "CLOCK" has Internal fmax of 23.67 MHz between source memory "altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_we_reg" and destination register "fpz8_cpu_v1:inst|\main:PC[12]" (period= 42.244 ns)
    Info: + Longest memory to register delay is 20.880 ns
        Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = M4K_X11_Y15; Fanout = 1; MEM Node = 'altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_we_reg'
        Info: 2: + IC(0.000 ns) + CELL(2.993 ns) = 2.993 ns; Loc. = M4K_X11_Y15; Fanout = 1; MEM Node = 'altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0'
        Info: 3: + IC(1.391 ns) + CELL(0.150 ns) = 4.534 ns; Loc. = LCCOMB_X24_Y15_N28; Fanout = 1; COMB Node = 'altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|mux_kib:mux2|result_node[0]~8'
        Info: 4: + IC(0.244 ns) + CELL(0.416 ns) = 5.194 ns; Loc. = LCCOMB_X24_Y15_N18; Fanout = 26; COMB Node = 'altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|mux_kib:mux2|result_node[0]~9'
        Info: 5: + IC(0.295 ns) + CELL(0.150 ns) = 5.639 ns; Loc. = LCCOMB_X24_Y15_N0; Fanout = 1; COMB Node = 'fpz8_cpu_v1:inst|Mux37~5'
        Info: 6: + IC(0.251 ns) + CELL(0.150 ns) = 6.040 ns; Loc. = LCCOMB_X24_Y15_N22; Fanout = 150; COMB Node = 'fpz8_cpu_v1:inst|Mux37~6'
        Info: 7: + IC(1.264 ns) + CELL(0.150 ns) = 7.454 ns; Loc. = LCCOMB_X29_Y12_N14; Fanout = 23; COMB Node = 'fpz8_cpu_v1:inst|Equal74~2'
        Info: 8: + IC(0.269 ns) + CELL(0.275 ns) = 7.998 ns; Loc. = LCCOMB_X29_Y12_N8; Fanout = 1; COMB Node = 'fpz8_cpu_v1:inst|IQUEUE~14'
        Info: 9: + IC(0.262 ns) + CELL(0.271 ns) = 8.531 ns; Loc. = LCCOMB_X29_Y12_N18; Fanout = 13; COMB Node = 'fpz8_cpu_v1:inst|IQUEUE~15'
        Info: 10: + IC(0.721 ns) + CELL(0.150 ns) = 9.402 ns; Loc. = LCCOMB_X29_Y13_N6; Fanout = 1; COMB Node = 'fpz8_cpu_v1:inst|Mux801~0'
        Info: 11: + IC(0.258 ns) + CELL(0.150 ns) = 9.810 ns; Loc. = LCCOMB_X29_Y13_N20; Fanout = 7; COMB Node = 'fpz8_cpu_v1:inst|Mux801~1'
        Info: 12: + IC(0.768 ns) + CELL(0.150 ns) = 10.728 ns; Loc. = LCCOMB_X29_Y15_N18; Fanout = 4; COMB Node = 'fpz8_cpu_v1:inst|Equal76~1'
        Info: 13: + IC(0.781 ns) + CELL(0.275 ns) = 11.784 ns; Loc. = LCCOMB_X29_Y12_N16; Fanout = 10; COMB Node = 'fpz8_cpu_v1:inst|Equal75~0'
        Info: 14: + IC(0.675 ns) + CELL(0.275 ns) = 12.734 ns; Loc. = LCCOMB_X30_Y11_N26; Fanout = 5; COMB Node = 'fpz8_cpu_v1:inst|NUM_BYTES~24'
        Info: 15: + IC(0.270 ns) + CELL(0.150 ns) = 13.154 ns; Loc. = LCCOMB_X30_Y11_N16; Fanout = 1; COMB Node = 'fpz8_cpu_v1:inst|NUM_BYTES~27'
        Info: 16: + IC(0.690 ns) + CELL(0.150 ns) = 13.994 ns; Loc. = LCCOMB_X29_Y10_N28; Fanout = 3; COMB Node = 'fpz8_cpu_v1:inst|NUM_BYTES~31'
        Info: 17: + IC(0.742 ns) + CELL(0.150 ns) = 14.886 ns; Loc. = LCCOMB_X29_Y11_N30; Fanout = 1; COMB Node = 'fpz8_cpu_v1:inst|NUM_BYTES~61'
        Info: 18: + IC(0.252 ns) + CELL(0.149 ns) = 15.287 ns; Loc. = LCCOMB_X29_Y11_N20; Fanout = 1; COMB Node = 'fpz8_cpu_v1:inst|NUM_BYTES~62'
        Info: 19: + IC(0.269 ns) + CELL(0.271 ns) = 15.827 ns; Loc. = LCCOMB_X29_Y11_N14; Fanout = 1; COMB Node = 'fpz8_cpu_v1:inst|NUM_BYTES~63'
        Info: 20: + IC(0.252 ns) + CELL(0.275 ns) = 16.354 ns; Loc. = LCCOMB_X29_Y11_N28; Fanout = 1; COMB Node = 'fpz8_cpu_v1:inst|NUM_BYTES~91'
        Info: 21: + IC(0.252 ns) + CELL(0.150 ns) = 16.756 ns; Loc. = LCCOMB_X29_Y11_N6; Fanout = 7; COMB Node = 'fpz8_cpu_v1:inst|NUM_BYTES~92'
        Info: 22: + IC(1.503 ns) + CELL(0.393 ns) = 18.652 ns; Loc. = LCCOMB_X15_Y14_N0; Fanout = 2; COMB Node = 'fpz8_cpu_v1:inst|Add33~1'
        Info: 23: + IC(0.000 ns) + CELL(0.071 ns) = 18.723 ns; Loc. = LCCOMB_X15_Y14_N2; Fanout = 2; COMB Node = 'fpz8_cpu_v1:inst|Add33~3'
        Info: 24: + IC(0.000 ns) + CELL(0.071 ns) = 18.794 ns; Loc. = LCCOMB_X15_Y14_N4; Fanout = 2; COMB Node = 'fpz8_cpu_v1:inst|Add33~5'
        Info: 25: + IC(0.000 ns) + CELL(0.071 ns) = 18.865 ns; Loc. = LCCOMB_X15_Y14_N6; Fanout = 2; COMB Node = 'fpz8_cpu_v1:inst|Add33~7'
        Info: 26: + IC(0.000 ns) + CELL(0.071 ns) = 18.936 ns; Loc. = LCCOMB_X15_Y14_N8; Fanout = 2; COMB Node = 'fpz8_cpu_v1:inst|Add33~9'
        Info: 27: + IC(0.000 ns) + CELL(0.071 ns) = 19.007 ns; Loc. = LCCOMB_X15_Y14_N10; Fanout = 2; COMB Node = 'fpz8_cpu_v1:inst|Add33~11'
        Info: 28: + IC(0.000 ns) + CELL(0.071 ns) = 19.078 ns; Loc. = LCCOMB_X15_Y14_N12; Fanout = 2; COMB Node = 'fpz8_cpu_v1:inst|Add33~13'
        Info: 29: + IC(0.000 ns) + CELL(0.159 ns) = 19.237 ns; Loc. = LCCOMB_X15_Y14_N14; Fanout = 2; COMB Node = 'fpz8_cpu_v1:inst|Add33~15'
        Info: 30: + IC(0.000 ns) + CELL(0.071 ns) = 19.308 ns; Loc. = LCCOMB_X15_Y14_N16; Fanout = 2; COMB Node = 'fpz8_cpu_v1:inst|Add33~17'
        Info: 31: + IC(0.000 ns) + CELL(0.071 ns) = 19.379 ns; Loc. = LCCOMB_X15_Y14_N18; Fanout = 2; COMB Node = 'fpz8_cpu_v1:inst|Add33~19'
        Info: 32: + IC(0.000 ns) + CELL(0.071 ns) = 19.450 ns; Loc. = LCCOMB_X15_Y14_N20; Fanout = 2; COMB Node = 'fpz8_cpu_v1:inst|Add33~21'
        Info: 33: + IC(0.000 ns) + CELL(0.071 ns) = 19.521 ns; Loc. = LCCOMB_X15_Y14_N22; Fanout = 2; COMB Node = 'fpz8_cpu_v1:inst|Add33~23'
        Info: 34: + IC(0.000 ns) + CELL(0.410 ns) = 19.931 ns; Loc. = LCCOMB_X15_Y14_N24; Fanout = 1; COMB Node = 'fpz8_cpu_v1:inst|Add33~24'
        Info: 35: + IC(0.715 ns) + CELL(0.150 ns) = 20.796 ns; Loc. = LCCOMB_X16_Y13_N22; Fanout = 1; COMB Node = 'fpz8_cpu_v1:inst|Selector443~4'
        Info: 36: + IC(0.000 ns) + CELL(0.084 ns) = 20.880 ns; Loc. = LCFF_X16_Y13_N23; Fanout = 3; REG Node = 'fpz8_cpu_v1:inst|\main:PC[12]'
        Info: Total cell delay = 8.756 ns ( 41.93 % )
        Info: Total interconnect delay = 12.124 ns ( 58.07 % )
    Info: - Smallest clock skew is -0.069 ns
        Info: + Shortest clock path from clock "CLOCK" to destination register is 2.411 ns
            Info: 1: + IC(0.000 ns) + CELL(0.979 ns) = 0.979 ns; Loc. = PIN_17; Fanout = 1; CLK Node = 'CLOCK'
            Info: 2: + IC(0.118 ns) + CELL(0.000 ns) = 1.097 ns; Loc. = CLKCTRL_G2; Fanout = 1039; COMB Node = 'CLOCK~clkctrl'
            Info: 3: + IC(0.777 ns) + CELL(0.537 ns) = 2.411 ns; Loc. = LCFF_X16_Y13_N23; Fanout = 3; REG Node = 'fpz8_cpu_v1:inst|\main:PC[12]'
            Info: Total cell delay = 1.516 ns ( 62.88 % )
            Info: Total interconnect delay = 0.895 ns ( 37.12 % )
        Info: - Longest clock path from clock "CLOCK" to source memory is 2.480 ns
            Info: 1: + IC(0.000 ns) + CELL(0.979 ns) = 0.979 ns; Loc. = PIN_17; Fanout = 1; CLK Node = 'CLOCK'
            Info: 2: + IC(0.118 ns) + CELL(0.000 ns) = 1.097 ns; Loc. = CLKCTRL_G2; Fanout = 1039; COMB Node = 'CLOCK~clkctrl'
            Info: 3: + IC(0.722 ns) + CELL(0.661 ns) = 2.480 ns; Loc. = M4K_X11_Y15; Fanout = 1; MEM Node = 'altsyncram2:inst1|altsyncram:altsyncram_component|altsyncram_lge1:auto_generated|ram_block1a0~porta_we_reg'
            Info: Total cell delay = 1.640 ns ( 66.13 % )
            Info: Total interconnect delay = 0.840 ns ( 33.87 % )
    Info: + Micro clock to output delay of source is 0.209 ns
    Info: + Micro setup delay of destination is -0.036 ns
    Info: Delay path is controlled by inverted clocks -- if clock duty cycle is 50%, fmax is divided by two
Info: tsu for register "fpz8_cpu_v1:inst|\main:DEST_ADDR16[5]" (data pin = "RESET", clock pin = "CLOCK") is 7.296 ns
    Info: + Longest pin to register delay is 9.765 ns
        Info: 1: + IC(0.000 ns) + CELL(0.979 ns) = 0.979 ns; Loc. = PIN_18; Fanout = 2; PIN Node = 'RESET'
        Info: 2: + IC(1.033 ns) + CELL(0.275 ns) = 2.287 ns; Loc. = LCCOMB_X10_Y13_N24; Fanout = 208; COMB Node = 'fpz8_cpu_v1:inst|\main:DBG_CMD.DBG_WAIT_CMD~0'
        Info: 3: + IC(1.104 ns) + CELL(0.438 ns) = 3.829 ns; Loc. = LCCOMB_X13_Y10_N18; Fanout = 1; COMB Node = 'fpz8_cpu_v1:inst|\main:DEST_ADDR16[15]~3'
        Info: 4: + IC(0.430 ns) + CELL(0.150 ns) = 4.409 ns; Loc. = LCCOMB_X14_Y10_N20; Fanout = 1; COMB Node = 'fpz8_cpu_v1:inst|\main:DEST_ADDR16[15]~4'
        Info: 5: + IC(0.256 ns) + CELL(0.275 ns) = 4.940 ns; Loc. = LCCOMB_X14_Y10_N30; Fanout = 2; COMB Node = 'fpz8_cpu_v1:inst|\main:DEST_ADDR16[15]~5'
        Info: 6: + IC(0.251 ns) + CELL(0.150 ns) = 5.341 ns; Loc. = LCCOMB_X14_Y10_N4; Fanout = 1; COMB Node = 'fpz8_cpu_v1:inst|\main:DEST_ADDR16[15]~6'
        Info: 7: + IC(1.287 ns) + CELL(0.275 ns) = 6.903 ns; Loc. = LCCOMB_X16_Y15_N14; Fanout = 2; COMB Node = 'fpz8_cpu_v1:inst|\main:DEST_ADDR16[15]~7'
        Info: 8: + IC(0.761 ns) + CELL(0.150 ns) = 7.814 ns; Loc. = LCCOMB_X17_Y12_N20; Fanout = 8; COMB Node = 'fpz8_cpu_v1:inst|\main:DEST_ADDR16[7]~11'
        Info: 9: + IC(1.291 ns) + CELL(0.660 ns) = 9.765 ns; Loc. = LCFF_X16_Y17_N17; Fanout = 5; REG Node = 'fpz8_cpu_v1:inst|\main:DEST_ADDR16[5]'
        Info: Total cell delay = 3.352 ns ( 34.33 % )
        Info: Total interconnect delay = 6.413 ns ( 65.67 % )
    Info: + Micro setup delay of destination is -0.036 ns
    Info: - Shortest clock path from clock "CLOCK" to destination register is 2.433 ns
        Info: 1: + IC(0.000 ns) + CELL(0.979 ns) = 0.979 ns; Loc. = PIN_17; Fanout = 1; CLK Node = 'CLOCK'
        Info: 2: + IC(0.118 ns) + CELL(0.000 ns) = 1.097 ns; Loc. = CLKCTRL_G2; Fanout = 1039; COMB Node = 'CLOCK~clkctrl'
        Info: 3: + IC(0.799 ns) + CELL(0.537 ns) = 2.433 ns; Loc. = LCFF_X16_Y17_N17; Fanout = 5; REG Node = 'fpz8_cpu_v1:inst|\main:DEST_ADDR16[5]'
        Info: Total cell delay = 1.516 ns ( 62.31 % )
        Info: Total interconnect delay = 0.917 ns ( 37.69 % )
Info: tco from clock "CLOCK" to destination pin "PAOUT[0]" through register "fpz8_cpu_v1:inst|PAOUT[0]" is 8.415 ns
    Info: + Longest clock path from clock "CLOCK" to source register is 2.425 ns
        Info: 1: + IC(0.000 ns) + CELL(0.979 ns) = 0.979 ns; Loc. = PIN_17; Fanout = 1; CLK Node = 'CLOCK'
        Info: 2: + IC(0.118 ns) + CELL(0.000 ns) = 1.097 ns; Loc. = CLKCTRL_G2; Fanout = 1039; COMB Node = 'CLOCK~clkctrl'
        Info: 3: + IC(0.791 ns) + CELL(0.537 ns) = 2.425 ns; Loc. = LCFF_X10_Y4_N17; Fanout = 2; REG Node = 'fpz8_cpu_v1:inst|PAOUT[0]'
        Info: Total cell delay = 1.516 ns ( 62.52 % )
        Info: Total interconnect delay = 0.909 ns ( 37.48 % )
    Info: + Micro clock to output delay of source is 0.250 ns
    Info: + Longest register to pin delay is 5.740 ns
        Info: 1: + IC(0.000 ns) + CELL(0.000 ns) = 0.000 ns; Loc. = LCFF_X10_Y4_N17; Fanout = 2; REG Node = 'fpz8_cpu_v1:inst|PAOUT[0]'
        Info: 2: + IC(2.942 ns) + CELL(2.798 ns) = 5.740 ns; Loc. = PIN_133; Fanout = 0; PIN Node = 'PAOUT[0]'
        Info: Total cell delay = 2.798 ns ( 48.75 % )
        Info: Total interconnect delay = 2.942 ns ( 51.25 % )
Info: th for register "fpz8_cpu_v1:inst|\main:DBG_CMD.DBG_SEND_PC2" (data pin = "RESET", clock pin = "CLOCK") is -1.011 ns
    Info: + Longest clock path from clock "CLOCK" to destination register is 2.417 ns
        Info: 1: + IC(0.000 ns) + CELL(0.979 ns) = 0.979 ns; Loc. = PIN_17; Fanout = 1; CLK Node = 'CLOCK'
        Info: 2: + IC(0.118 ns) + CELL(0.000 ns) = 1.097 ns; Loc. = CLKCTRL_G2; Fanout = 1039; COMB Node = 'CLOCK~clkctrl'
        Info: 3: + IC(0.783 ns) + CELL(0.537 ns) = 2.417 ns; Loc. = LCFF_X10_Y14_N13; Fanout = 6; REG Node = 'fpz8_cpu_v1:inst|\main:DBG_CMD.DBG_SEND_PC2'
        Info: Total cell delay = 1.516 ns ( 62.72 % )
        Info: Total interconnect delay = 0.901 ns ( 37.28 % )
    Info: + Micro hold delay of destination is 0.266 ns
    Info: - Shortest pin to register delay is 3.694 ns
        Info: 1: + IC(0.000 ns) + CELL(0.979 ns) = 0.979 ns; Loc. = PIN_18; Fanout = 2; PIN Node = 'RESET'
        Info: 2: + IC(1.033 ns) + CELL(0.275 ns) = 2.287 ns; Loc. = LCCOMB_X10_Y13_N24; Fanout = 208; COMB Node = 'fpz8_cpu_v1:inst|\main:DBG_CMD.DBG_WAIT_CMD~0'
        Info: 3: + IC(0.747 ns) + CELL(0.660 ns) = 3.694 ns; Loc. = LCFF_X10_Y14_N13; Fanout = 6; REG Node = 'fpz8_cpu_v1:inst|\main:DBG_CMD.DBG_SEND_PC2'
        Info: Total cell delay = 1.914 ns ( 51.81 % )
        Info: Total interconnect delay = 1.780 ns ( 48.19 % )
Info: Quartus II Classic Timing Analyzer was successful. 0 errors, 1 warning
    Info: Peak virtual memory: 206 megabytes
    Info: Processing ended: Thu Nov 10 23:30:04 2016
    Info: Elapsed time: 00:00:04
    Info: Total CPU time (on all processors): 00:00:03


Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.