URL
https://opencores.org/ocsvn/ft816float/ft816float/trunk
Subversion Repositories ft816float
[/] [ft816float/] [trunk/] [posit_test_bench/] [positToFp_tb.sv] - Rev 36
Compare with Previous | Blame | View Log
`timescale 1ns / 1psmodule positToFp_tb;function [31:0] log2;input reg [31:0] value;beginvalue = value-1;for (log2=0; value>0; log2=log2+1)value = value>>1;endendfunctionparameter N=32;parameter E=8;parameter Bs=log2(N);parameter es = 3;reg [N-1:0] in;reg clk;wire [N-1:0] out;// Instantiate the Unit Under Test (UUT)positToFp #(.FPWID(N), .PSTWID(N), .es(es)) u1 (.i(in),.o(out));initial begin// Initialize Inputsclk = 1;// Wait 100 ns for global reset to finish#101 in = 65535;#655360$fclose(outfile);$finish;endalways #5 clk=~clk;always @(posedge clk) beginif (in < 32'hffffffff)in <= in + 65535;endinteger outfile;initial outfile = $fopen("d:/cores5/Gambit/v5/rtl/cpu/fpu/test_bench/positToFp_tvo32.txt", "wb");always @(negedge clk) begin$fwrite(outfile, "%h\t%h\n",in,out);endendmodule
