OpenCores
URL https://opencores.org/ocsvn/ftdi_wb_bridge/ftdi_wb_bridge/trunk

Subversion Repositories ftdi_wb_bridge

[/] [ftdi_wb_bridge/] [trunk/] [sw/] [makefile.mk] - Rev 2

Compare with Previous | Blame | View Log

###############################################################################
## Makefile
###############################################################################

# Target
TARGET     ?= test

# Options
CFLAGS      = -g
LDFLAGS     = 
LIBS        = -lftdi

# Source Files
OBJ = ftdi_hw.o $(TARGET).o

###############################################################################
# Rules
###############################################################################
all: $(TARGET)
    
clean:
        -rm *.o $(TARGET)

%.o : %.c
        gcc -c $(CFLAGS) $< -o $@

%.o : %.cpp
        g++ -c $(CFLAGS) $< -o $@

$(TARGET): $(OBJ)
        g++ $(LDFLAGS) $(OBJ) $(LIBS) -o $@

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.