OpenCores
URL https://opencores.org/ocsvn/ftdi_wb_bridge/ftdi_wb_bridge/trunk

Subversion Repositories ftdi_wb_bridge

[/] [ftdi_wb_bridge/] [trunk/] [testbench/] [makefile] - Rev 2

Compare with Previous | Blame | View Log

TRACE                   ?= 1

all: compile run view                                                                                      
 
# Testbench
SRC+= ./top_tb.sv wb_slave.sv

SRC+= ../rtl/ftdi_if.v ../rtl/ftdi_sync.v

ifeq ($(TRACE),1)
    SRC_FLAGS += +define+TRACE=$(TRACE)
endif

INC_DIRS = -I.

compile : 
        vlib work
        vlog $(SRC) $(SRC_FLAGS)
 
run : compile
        vsim -c -do "run -all" top_tb
 
view : compile
ifeq ($(TRACE),1)
        gtkwave waveform.vcd gtksettings.sav  
endif

clean :                                                                                                  
        -rm -rf work waveform.vcd transcript

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.