OpenCores
URL https://opencores.org/ocsvn/gecko3/gecko3/trunk

Subversion Repositories gecko3

[/] [gecko3/] [trunk/] [GECKO3COM/] [gecko3com-ip/] [core/] [gpif_com_test_tb.wcfg] - Rev 18

Compare with Previous | Blame | View Log

<?xml version="1.0" encoding="UTF-8"?>
<wave_config>
   <wave_state>
   </wave_state>
   <db_ref_list>
      <db_ref path="/home/chrigi/bfh-work/GECKO3COM/gecko3com-ip/core/gpif_com_test_tb_isim_beh.wdb" id="1" type="auto">
         <top_modules>
            <top_module name="gecko3com_defines" />
            <top_module name="glbl" />
            <top_module name="gpif_com_test_tb" />
            <top_module name="numeric_std" />
            <top_module name="std_logic_1164" />
            <top_module name="std_logic_arith" />
            <top_module name="std_logic_unsigned" />
            <top_module name="usb_tmc_cmp" />
            <top_module name="vcomponents" />
            <top_module name="vcomponents" />
         </top_modules>
      </db_ref>
   </db_ref_list>
   <wvobject fp_name="/gpif_com_test_tb/sim_clk" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">sim_clk</obj_property>
      <obj_property name="ObjectShortName">sim_clk</obj_property>
   </wvobject>
   <wvobject fp_name="/gpif_com_test_tb/sim_rst" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">sim_rst</obj_property>
      <obj_property name="ObjectShortName">sim_rst</obj_property>
   </wvobject>
   <wvobject fp_name="/gpif_com_test_tb/s_ledrun" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">s_ledrun</obj_property>
      <obj_property name="ObjectShortName">s_ledrun</obj_property>
   </wvobject>
   <wvobject fp_name="/gpif_com_test_tb/s_ledtx" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">s_ledtx</obj_property>
      <obj_property name="ObjectShortName">s_ledtx</obj_property>
   </wvobject>
   <wvobject fp_name="/gpif_com_test_tb/s_ledrx" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">s_ledrx</obj_property>
      <obj_property name="ObjectShortName">s_ledrx</obj_property>
   </wvobject>
   <wvobject fp_name="/gpif_com_test_tb/s_dummy" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">s_dummy</obj_property>
      <obj_property name="ObjectShortName">s_dummy</obj_property>
   </wvobject>
   <wvobject fp_name="/gpif_com_test_tb/sim_1" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">sim_1</obj_property>
      <obj_property name="ObjectShortName">sim_1</obj_property>
   </wvobject>
   <wvobject fp_name="/gpif_com_test_tb/send_data" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">send_data</obj_property>
      <obj_property name="ObjectShortName">send_data</obj_property>
   </wvobject>
   <wvobject fp_name="/gpif_com_test_tb/s_wru" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">s_wru</obj_property>
      <obj_property name="ObjectShortName">s_wru</obj_property>
   </wvobject>
   <wvobject fp_name="/gpif_com_test_tb/s_rdyu" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">s_rdyu</obj_property>
      <obj_property name="ObjectShortName">s_rdyu</obj_property>
   </wvobject>
   <wvobject fp_name="/gpif_com_test_tb/s_wrx" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">s_wrx</obj_property>
      <obj_property name="ObjectShortName">s_wrx</obj_property>
   </wvobject>
   <wvobject fp_name="/gpif_com_test_tb/s_rdyx" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">s_rdyx</obj_property>
      <obj_property name="ObjectShortName">s_rdyx</obj_property>
   </wvobject>
   <wvobject fp_name="/gpif_com_test_tb/s_data_bus" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">s_data_bus[15:0]</obj_property>
      <obj_property name="ObjectShortName">s_data_bus[15:0]</obj_property>
   </wvobject>
   <wvobject fp_name="/gpif_com_test_tb/clk_period" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">clk_period</obj_property>
      <obj_property name="ObjectShortName">clk_period</obj_property>
   </wvobject>
   <wvobject fp_name="/gpif_com_test_tb/data_bus_size" type="other" db_ref_id="1">
      <obj_property name="ElementShortName">data_bus_size</obj_property>
      <obj_property name="ObjectShortName">data_bus_size</obj_property>
   </wvobject>
   <wvobject fp_name="/gpif_com_test_tb/word_value1" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">word_value1[15:0]</obj_property>
      <obj_property name="ObjectShortName">word_value1[15:0]</obj_property>
   </wvobject>
   <wvobject fp_name="/gpif_com_test_tb/word_value2" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">word_value2[15:0]</obj_property>
      <obj_property name="ObjectShortName">word_value2[15:0]</obj_property>
   </wvobject>
   <wvobject fp_name="/gpif_com_test_tb/word_value3" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">word_value3[15:0]</obj_property>
      <obj_property name="ObjectShortName">word_value3[15:0]</obj_property>
   </wvobject>
   <wvobject fp_name="/gpif_com_test_tb/DUT/s_tx_data" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">s_tx_data[15:0]</obj_property>
      <obj_property name="ObjectShortName">s_tx_data[15:0]</obj_property>
   </wvobject>
   <wvobject fp_name="/gpif_com_test_tb/DUT/s_rom_adress" type="array" db_ref_id="1">
      <obj_property name="ElementShortName">s_rom_adress[4:0]</obj_property>
      <obj_property name="ObjectShortName">s_rom_adress[4:0]</obj_property>
   </wvobject>
   <wvobject fp_name="/gpif_com_test_tb/DUT/s_wr_en" type="logic" db_ref_id="1">
      <obj_property name="ElementShortName">s_wr_en</obj_property>
      <obj_property name="ObjectShortName">s_wr_en</obj_property>
   </wvobject>
</wave_config>

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.