OpenCores
URL https://opencores.org/ocsvn/gpib_controller/gpib_controller/trunk

Subversion Repositories gpib_controller

[/] [gpib_controller/] [trunk/] [prototype_1/] [fpga/] [xilinx_prj/] [iseconfig/] [proto1.projectmgr] - Rev 8

Compare with Previous | Blame | View Log

<?xml version='1.0' encoding='utf-8'?>
<!--This is an ISE project configuration file.-->
<!--It holds project specific layout data for the projectmgr plugin.-->
<!--Copyright (c) 1995-2009 Xilinx, Inc.  All rights reserved.-->
<Project version="1" owner="projectmgr" name="proto1" >
   <!--This is an ISE project configuration file.-->
   <ItemView engineview="SynthesisOnly" guiview="Source" compilemode="AutoCompile" >
      <ClosedNodes>
         <ClosedNode>/EdgeDetector - arch</ClosedNode>
         <ClosedNode>/Fifo8b - arch</ClosedNode>
         <ClosedNode>/Fifo8b_Test_vhd - behavior</ClosedNode>
         <ClosedNode>/RegsGpibFasade - arch</ClosedNode>
         <ClosedNode>/RegsGpibFasade_communication_test - behavior</ClosedNode>
         <ClosedNode>/Uart - arch</ClosedNode>
         <ClosedNode>/gpibInterface - Behavioral</ClosedNode>
         <ClosedNode>/main - Behavioral</ClosedNode>
         <ClosedNode>/main - Behavioral/gpib0 - RegsGpibFasade - arch</ClosedNode>
         <ClosedNode>/main - Behavioral/gpib0 - RegsGpibFasade - arch/ev - EventReg - arch</ClosedNode>
         <ClosedNode>/main - Behavioral/gpib0 - RegsGpibFasade - arch/gpib - gpibInterface - Behavioral</ClosedNode>
         <ClosedNode>/main - Behavioral/gpib0 - RegsGpibFasade - arch/ig - InterruptGenerator - arch</ClosedNode>
         <ClosedNode>/main - Behavioral/gpib0 - RegsGpibFasade - arch/rc0 - ReaderControlReg0 - arch</ClosedNode>
         <ClosedNode>/main - Behavioral/gpib0 - RegsGpibFasade - arch/readerFifo - Fifo8b - arch</ClosedNode>
         <ClosedNode>/main - Behavioral/gpib0 - RegsGpibFasade - arch/wc0 - WriterControlReg0 - arch</ClosedNode>
         <ClosedNode>/main - Behavioral/gpib0 - RegsGpibFasade - arch/writerFifo - Fifo8b - arch</ClosedNode>
      </ClosedNodes>
      <SelectedItems>
         <SelectedItem>main - Behavioral (/home/andrzej/apaluch/projects/elektronika/GPIB_fpga/xilinx_prj/src/main.vhd)</SelectedItem>
      </SelectedItems>
      <ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
      <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
      <ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000100000000000000000000000000000000020200000001000000010000006400000163000000020000000000000000000000000000000064ffffffff000000810000000000000002000001630000000100000000000000000000000100000000</ViewHeaderState>
      <UserChangedColumnWidths orientation="horizontal" >true</UserChangedColumnWidths>
      <CurrentItem>main - Behavioral (/home/andrzej/apaluch/projects/elektronika/GPIB_fpga/xilinx_prj/src/main.vhd)</CurrentItem>
   </ItemView>
   <ItemView engineview="SynthesisOnly" sourcetype="" guiview="Process" >
      <ClosedNodes>
         <ClosedNode>Design Utilities/Compile HDL Simulation Libraries</ClosedNode>
         <ClosedNode>Implement Design</ClosedNode>
         <ClosedNode>Synthesize - XST</ClosedNode>
      </ClosedNodes>
      <SelectedItems>
         <SelectedItem></SelectedItem>
      </SelectedItems>
      <ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
      <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
      <ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000100000000000000000000000000000000000000000000000172000000010000000100000000000000000000000064ffffffff000000810000000000000001000001720000000100000000</ViewHeaderState>
      <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
      <CurrentItem></CurrentItem>
   </ItemView>
   <ItemView guiview="File" >
      <ClosedNodes/>
      <SelectedItems/>
      <ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
      <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
      <ViewHeaderState orientation="horizontal" >000000ff000000000000000100000000000000000100000000000000000000000000000000000001a2000000040101000100000000000000000000000064ffffffff000000810000000000000004000000b40000000100000000000000240000000100000000000000660000000100000000000000640000000100000000</ViewHeaderState>
      <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
      <CurrentItem>main.ucf</CurrentItem>
   </ItemView>
   <ItemView guiview="Library" >
      <ClosedNodes/>
      <SelectedItems/>
      <ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
      <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
      <ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000000000000010000000000000000000000000000000000000163000000010001000100000000000000000000000064ffffffff000000810000000000000001000001630000000100000000</ViewHeaderState>
      <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
      <CurrentItem>work</CurrentItem>
   </ItemView>
   <ItemView engineview="SynthesisOnly" sourcetype="DESUT_VHDL_ARCHITECTURE" guiview="Process" >
      <ClosedNodes>
         <ClosedNode>Design Utilities/Compile HDL Simulation Libraries</ClosedNode>
         <ClosedNode>Implement Design</ClosedNode>
         <ClosedNode>Synthesize - XST</ClosedNode>
      </ClosedNodes>
      <SelectedItems>
         <SelectedItem>Generate Programming File</SelectedItem>
      </SelectedItems>
      <ScrollbarPosition orientation="vertical" >6</ScrollbarPosition>
      <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
      <ViewHeaderState orientation="horizontal" >000000ff0000000000000001000000010000000000000000000000000000000000000000000000011b000000010000000100000000000000000000000064ffffffff0000008100000000000000010000011b0000000100000000</ViewHeaderState>
      <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
      <CurrentItem>Generate Programming File</CurrentItem>
   </ItemView>
   <ItemView engineview="SynthesisOnly" sourcetype="DESUT_UCF" guiview="Process" >
      <ClosedNodes>
         <ClosedNode>User Constraints</ClosedNode>
      </ClosedNodes>
      <SelectedItems>
         <SelectedItem>Add Existing Source</SelectedItem>
      </SelectedItems>
      <ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
      <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
      <ViewHeaderState orientation="horizontal" >000000ff0000000000000001000000010000000000000000000000000000000000000000000000012a000000010000000100000000000000000000000064ffffffff0000008100000000000000010000012a0000000100000000</ViewHeaderState>
      <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
      <CurrentItem>Add Existing Source</CurrentItem>
   </ItemView>
   <SourceProcessView>000000ff00000000000000020000015e0000012a01000000040100000002</SourceProcessView>
   <CurrentView>Implementation</CurrentView>
   <ItemView engineview="BehavioralSim" guiview="Source" compilemode="AutoCompile" >
      <ClosedNodes>
         <ClosedNode>/Fifo8b_Test_vhd - behavior</ClosedNode>
         <ClosedNode>/MemoryBlock_Test_vhd - behavior</ClosedNode>
         <ClosedNode>/MemoryBlock_Test_vhd - behavior/uut - MemoryBlock - arch</ClosedNode>
         <ClosedNode>/RegMultiplexer_Test_vhd - behavior</ClosedNode>
         <ClosedNode>/RegsGpibFasade_communication_test - behavior</ClosedNode>
         <ClosedNode>/RegsGpibFasade_test - behavior</ClosedNode>
         <ClosedNode>/RegsGpibFasade_test - behavior/uut - RegsGpibFasade - arch</ClosedNode>
         <ClosedNode>/gpibInterfaceTest - behavior</ClosedNode>
         <ClosedNode>/gpibReaderTest - behavior</ClosedNode>
         <ClosedNode>/gpibWriterReaderTest - behavior</ClosedNode>
         <ClosedNode>/gpib_DC_Test - behavior</ClosedNode>
         <ClosedNode>/gpib_DT_Test - behavior</ClosedNode>
         <ClosedNode>/gpib_PP_Test - behavior</ClosedNode>
         <ClosedNode>/gpib_RL_Test - behavior</ClosedNode>
         <ClosedNode>/gpib_SeriallPoll_Test - behavior</ClosedNode>
         <ClosedNode>/gpib_TE_LE_Test - behavior</ClosedNode>
         <ClosedNode>/main - Behavioral</ClosedNode>
         <ClosedNode>/main - Behavioral/gpib0 - RegsGpibFasade - arch</ClosedNode>
      </ClosedNodes>
      <SelectedItems>
         <SelectedItem>main - Behavioral (/home/andrzej/apaluch/projects/elektronika/GPIB/prototype_1/fpga/proto1/src/main.vhd)</SelectedItem>
      </SelectedItems>
      <ScrollbarPosition orientation="vertical" >3</ScrollbarPosition>
      <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
      <ViewHeaderState orientation="horizontal" >000000ff000000000000000100000001000000000000000000000000000000000202000000010000000100000064000002a0000000020000000000000000000000000000000064ffffffff000000810000000000000002000002a00000000100000000000000000000000100000000</ViewHeaderState>
      <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
      <CurrentItem>main - Behavioral (/home/andrzej/apaluch/projects/elektronika/GPIB/prototype_1/fpga/proto1/src/main.vhd)</CurrentItem>
   </ItemView>
   <ItemView engineview="BehavioralSim" sourcetype="" guiview="Process" >
      <ClosedNodes>
         <ClosedNode>Design Utilities</ClosedNode>
      </ClosedNodes>
      <SelectedItems>
         <SelectedItem>Add Existing Source</SelectedItem>
      </SelectedItems>
      <ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
      <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
      <ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000100000000000000000000000000000000000000000000000124000000010000000100000000000000000000000064ffffffff000000810000000000000001000001240000000100000000</ViewHeaderState>
      <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
      <CurrentItem>Add Existing Source</CurrentItem>
   </ItemView>
   <ItemView engineview="BehavioralSim" sourcetype="DESUT_VHDL_ARCHITECTURE" guiview="Process" >
      <ClosedNodes/>
      <SelectedItems>
         <SelectedItem>Behavioral Check Syntax</SelectedItem>
      </SelectedItems>
      <ScrollbarPosition orientation="vertical" >0</ScrollbarPosition>
      <ScrollbarPosition orientation="horizontal" >0</ScrollbarPosition>
      <ViewHeaderState orientation="horizontal" >000000ff00000000000000010000000100000000000000000000000000000000000000000000000124000000010000000100000000000000000000000064ffffffff000000810000000000000001000001240000000100000000</ViewHeaderState>
      <UserChangedColumnWidths orientation="horizontal" >false</UserChangedColumnWidths>
      <CurrentItem>Behavioral Check Syntax</CurrentItem>
   </ItemView>
</Project>

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.