OpenCores
URL https://opencores.org/ocsvn/graphicallcd/graphicallcd/trunk

Subversion Repositories graphicallcd

[/] [graphicallcd/] [web_uploads/] [index.shtml] - Rev 6

Compare with Previous | Blame | View Log

<html><head>
    <meta content="cores, VHDL, Verilog HDL, ASIC, Synthesizable,
      standard cell, IP, Intellectual Property, 32-bit RISC, UART, PCI, SDRAM,
      full custom, system on a chip, SOC, reusable, design, development, synthesis,
      designs, developers, C, Linux, eCos, open, free, open source cores, RTL code,
      system-on-a-chip, circuits, digital, GNU, GPL, core, controller, processor,
      system design, chip design, EDA, design methodology, design tools, ASICs, programmable logic,
      FPGA's, PLDs, CPLDs, verification, Synthesis, HDL, Simulation, IC design software,
      semiconductor design, integrated circuits, system designs, chip designs, EDAs, 
      design methodologies, design tool, ASIC, programmable logics, FPGA, PLD, CPLD, Synthesis, 
      circuit, Synopsys, system design, chip design, programmable logic, FPGA's, PLDs, 
      CPLDs, verification, Simulation" name="keywords">
      <meta content="OPENCORES.ORG endorses development and hosts
      a repository of free, open-source cores (chip designs) and supplemental
      platforms (boards)." name="description">
 
 
 
    <style type="text/css">
      BODY {FONT-FAMILY: Verdana, Tahoma, Arial, Helvetica}
      P {FONT-FAMILY: Verdana, Tahoma, Arial, Helvetica}
      DIV {FONT-FAMILY: Verdana, Tahoma, Arial, Helvetica}
      TD {FONT-FAMILY: Verdana, Tahoma, Arial, Helvetica}
      TR {FONT-FAMILY: Verdana, Tahoma, Arial, Helvetica}
      FORM {FONT-FAMILY: Verdana, Tahoma, Arial, Helvetica}
      OL {FONT-FAMILY: Verdana, Tahoma, Arial, Helvetica}
      UL {FONT-FAMILY: Verdana, Tahoma, Arial, Helvetica}
      LI {FONT-FAMILY: Verdana, Tahoma, Arial, Helvetica}
      B {FONT-FAMILY: Verdana, Tahoma, Arial, Helvetica}
      I {FONT-FAMILY: Verdana, Tahoma, Arial, Helvetica}
      U {FONT-FAMILY: Verdana, Tahoma, Arial, Helvetica}
      INPUT {FONT-FAMILY: Verdana, Tahoma, Arial, Helvetica}
      TEXTAREA {FONT-FAMILY: Verdana, Tahoma, Arial, Helvetica}
      SELECT {FONT-FAMILY: Verdana, Tahoma, Arial, Helvetica}
      A {FONT-FAMILY: Verdana, Tahoma, Arial, Helvetica}
      A:hover {color:"#ff3300";}
      FONT {FONT-FAMILY: Verdana, Tahoma, Arial, Helvetica}
      .email {color: "#000088"}
    </style><title>OPENCORES</title></head>
 
  <body marginwidth="0" marginheight="0" topmargin="0" leftmargin="0" alink="#ff0000" vlink="#444444" link="#000088" text="#000000" bgcolor="#ffffff">
 
 
 
  <table valign="top" width="100%" cellspacing="0" cellpadding="0" border="0" align="center">
    <tbody>
      <tr bgcolor="#ffffff">
        <td align="right" width="95" nowrap="nowrap">
          <a href="http://www.opencores.org/"><img alt="Home" border="0" src="index.shtml.content/title_logo.gif"></a>
        </td>
        <td align="center">
          <center>
          <table valign="center" cellspacing="0" cellpadding="8" border="0"><tbody><tr><td width="10">    
            <font size="+3"><b>OPENCORES.ORG</b>
          </font></td></tr></tbody></table>
          </center> 
        </td>
        <td align="right" width="95" nowrap="nowrap">
            
        </td>
      </tr>
      <tr><td colspan="3" height="1" bgcolor="#000000"><img width="1" src="index.shtml.content/dotty.gif" height="1" alt=""></td></tr>
    </tbody>
  </table>
 
  <table valign="top" width="100%" cellspacing="0" cellpadding="0" border="0" align="center">
    <tbody><tr bgcolor="#bbccff">
      <td valign="center">
        <table valign="top" width="100%" cellspacing="0" cellpadding="2" border="0">
        <tbody><tr>
          <td width="10"></td>
          <td valign="center" align="center">
            <a target="_top" href="http://www.opencores.org/mission.shtml"><font color="#000000">Mission</font></a>
            | <a target="_top" href="http://www.opencores.org/faq.shtml"><font color="#000000">FAQ</font></a>
            | <a target="_top" href="http://www.opencores.org/projects/"><font color="#000000">Projects</font></a>
            | <a target="_top" href="http://www.opencores.org/cvs.shtml"><font color="#000000">CVS</font></a>
            | <a target="_top" href="http://www.opencores.org/mailinglists.shtml"><font color="#000000">Mailing lists</font></a> 
            | <a target="_top" href="http://www.opencores.org/media.shtml"><font color="#000000">Media</font></a>
            | <a target="_top" href="http://www.opencores.org/tools.shtml"><font color="#000000">Tools</font></a>
            | <a target="_top" href="http://www.opencores.org/wishbone/"><font color="#000000">Wishbone</font></a>
            | <a target="_top" href="http://www.opencores.org/OIPC/"><font color="#000000">OpenIPCore</font></a>
          </td>
 
          <td width="10"></td><form action="http://www.opencores.org/search.shtml"></form>
          <td valign="center" align="right">
            Search <font size="-1"><input size="12" name="words" type="query"></font>
          </td><td><font size="-3">|</font></td>
        </tr></tbody></table>
      </td></tr>
      <tr><td height="1" bgcolor="#000000"><img width="1" src="index.shtml.content/dotty.gif" height="1" alt=""></td></tr>
    </tbody></table>
 
 
 
    <table valign="TOP" width="100%" cellspacing="0" cellpadding="0" border="0" align="center">
      <tbody><tr>
        <td bgcolor="#f0f0f0" width="150" valign="top">
          <table width="100%" valign="TOP" cellspacing="0" cellpadding="3" border="0">
            <tbody><tr>
              <td width="5"><font size="-2"> </font></td>
              <td valign="top" width="100%">
 
                    <b>Projects</b>
    <table border="0" cellpadding="0" cellspacing="0">
    <tbody><tr><td valign="top"><li> </li></td><td valign="top"><a href="http://www.opencores.org/projects/">Browse</a></td></tr>
    <tr><td valign="top"><li> </li></td><td valign="top"><a href="http://www.opencores.org/projects/?request=form">Start new one</a></td></tr>
    <tr><td valign="top"><li> </li></td><td><a href="http://www.opencores.org/cvsweb.shtml/">CVSWeb</a></td></tr>
    <tr><td valign="top"><li> </li></td><td><a href="http://www.opencores.org/wishlist/">Wishlist</a></td></tr>
    </tbody></table>
 
    <p>
 
    <b>Archives</b>
    <table border="0" cellpadding="0" cellspacing="0">
    <tbody><tr><td valign="top"><li> </li></td><td valign="top"><a href="http://www.opencores.org/articles">Articles</a></td></tr>
    <tr><td valign="top"><li> </li></td><td valign="top"><a href="http://www.opencores.org/news">News</a></td></tr>
    <tr><td valign="top"><li> </li></td><td valign="top"><a href="http://www.opencores.org/forums">Forums</a></td></tr>
    <tr><td valign="top"><li> </li></td><td valign="top"><a href="http://www.opencores.org/poll_archive">Polls</a></td></tr>
    </tbody></table>
 
    </p><p>
 
    <b>Write</b>
    <table border="0" cellpadding="0" cellspacing="0">
    <tbody><tr><td valign="top"><li> </li></td><td valign="top"><a href="http://www.opencores.org/articles?cmd=write_article_screen">Article</a></td></tr>
    <tr><td valign="top"><li> </li></td><td valign="top"><a href="http://www.opencores.org/news?cmd=add_news_screen">News</a></td></tr>
    </tbody></table>
 
    </p><p>
 
    <b>People</b>
    <table border="0" cellpadding="0" cellspacing="0">
    <tbody><tr><td valign="top"><li> </li></td><td valign="top"><a href="http://www.opencores.org/people?cmd=login_screen">Login to OC</a></td></tr>
    <tr><td valign="top"><li> </li></td><td valign="top"><a href="http://www.opencores.org/people?cmd=lost_password_screen">Lost password?</a></td></tr>
    <tr><td valign="top"><li> </li></td><td valign="top"><a href="http://www.opencores.org/people">Accounts</a></td></tr>
    </tbody></table>
 
 
	        </p><p><b>More</b>
	        <table cellpadding="0" cellspacing="0" border="0"><tbody><tr><td valign="top"><li> </li></td><td><a href="http://www.opencores.org/cvsmodule.shtml">CVSget</a></td></tr></tbody></table>
	        <table cellpadding="0" cellspacing="0" border="0"><tbody><tr><td valign="top"><li> </li></td><td><a href="http://www.opencores.org/perlilog/">Perlilog</a></td></tr></tbody></table>
	        <table cellpadding="0" cellspacing="0" border="0"><tbody><tr><td valign="top"><li> </li></td><td><a href="http://www.opencores.org/mirrors.shtml">Mirrors</a></td></tr></tbody></table>
	        <table cellpadding="0" cellspacing="0" border="0"><tbody><tr><td valign="top"><li> </li></td><td><a href="http://www.opencores.org/sponsors.shtml">Sponsors</a></td></tr></tbody></table>
	        <table cellpadding="0" cellspacing="0" border="0"><tbody><tr><td valign="top"><li> </li></td><td><a href="http://www.opencores.org/logos/">Logos</a></td></tr></tbody></table>
                <table cellpadding="0" cellspacing="0" border="0"><tbody><tr><td valign="top"><li> </li></td><td valign="top"><a href="http://www.opencores.org/people?request=form">Get account</a></td></tr></tbody></table>
 
 
	        </p><p><b>OpenIPCore</b>
	        <table cellpadding="0" cellspacing="0" border="0"><tbody><tr><td valign="top"><li> </li></td><td><a target="_top" href="http://www.opencores.org/OIPC/projects/OpenTech/">OpenTech</a></td></tr></tbody></table>
	        <table cellpadding="0" cellspacing="0" border="0"><tbody><tr><td valign="top"><li> </li></td><td><a target="_top" href="http://www.opencores.org/OIPC/goals.shtml">Goals</a></td></tr></tbody></table>
	        <table cellpadding="0" cellspacing="0" border="0"><tbody><tr><td valign="top"><li> </li></td><td><a target="_top" href="http://www.opencores.org/OIPC/def.shtml">Definition</a></td></tr></tbody></table>
	        <table cellpadding="0" cellspacing="0" border="0"><tbody><tr><td valign="top"><li> </li></td><td><a target="_top" href="http://www.opencores.org/OIPC/why.shtml">Why</a></td></tr></tbody></table>
	        <table cellpadding="0" cellspacing="0" border="0"><tbody><tr><td valign="top"><li> </li></td><td><a target="_top" href="http://www.opencores.org/OIPC/problem.shtml">Problems</a></td></tr></tbody></table>
	        <table cellpadding="0" cellspacing="0" border="0"><tbody><tr><td valign="top"><li> </li></td><td><a target="_top" href="http://www.opencores.org/OIPC/business.shtml">Business</a></td></tr></tbody></table>
	        <table cellpadding="0" cellspacing="0" border="0"><tbody><tr><td valign="top"><li> </li></td><td><a target="_top" href="http://www.opencores.org/OIPC/lic.shtml">Protection</a></td></tr></tbody></table>
	        <table cellpadding="0" cellspacing="0" border="0"><tbody><tr><td valign="top"><li> </li></td><td><a href="http://www.opencores.org/OIPC/road.shtml">Road map</a></td></tr></tbody></table>
	        <table cellpadding="0" cellspacing="0" border="0"><tbody><tr><td valign="top"><li> </li></td><td><a href="http://www.opencores.org/OIPC/flow.shtml">Design flow</a></td></tr></tbody></table>
 
 
		</p><p>
 
 
 
                </p><p><br></p><p><br>
	      </p></td>
              <td width="10"> </td>
            </tr>
          </tbody></table>
        </td>
        <td valign="top" height="1" bgcolor="#000000"><img width="1" src="index.shtml.content/dotty.gif" height="1" alt=""></td>
        <td valign="top" bgcolor="#ffffff" align="left">
          <table width="100%" valign="TOP" cellspacing="0" cellpadding="5" border="0">
            <tbody><tr>
              <td width="10"> </td>
              <td valign="top" width="100%">
 
<!-- BODY -->
 
 
 
 
 
 
 
 
    <table cellspacing="0" border="0" cellpadding="4" bgcolor="#f0f0f0" width="100%">
    <tbody><tr><td align="right">
 
 
            <a href="http://www.opencores.org/projects/graphicallcd/admin">Admin</a>
 
 
            | 
 
 
 
            Overview
 
 
            | 
 
 
 
            <a href="http://www.opencores.org/projects/graphicallcd/Wishlist">Wishlist</a>
 
 
 
    </td></tr></tbody></table><p>
 
 
 
 
<b><font color="#bf0000" size="+2">Project: Graphical LCD interfaces</font></b></p><p><b><font size="+1">Details</font></b> </p><p>Category: <a href="http://www.opencores.org/projects?category=video">Video controller</a><br>Last updated: 4/1/2004<br>Created: 16/12/2003<br>Wishbone compliant core: No<br>Stage: Planning<br>Mailing list: <a href="http://www.opencores.org/forums/cores">Cores</a></p><p><b><font size="+1">Description</font></b> <a href="http://www.opencores.org/projects/graphicallcd/admin?edit_used_block=txt&amp;page=Overview&amp;used_block=description">
          <img alt="Click here to edit this block" border="0" src="index.shtml.content/edit.gif"></a></p><p></p><p><b><font size="+1">Features</font></b> <a href="http://www.opencores.org/projects/graphicallcd/admin?edit_used_block=txt&amp;page=Overview&amp;used_block=features">
          <img alt="Click here to edit this block" border="0" src="index.shtml.content/edit.gif"></a></p><p></p><ul><li>feature1</li><li>feature2</li></ul><p><b><font size="+1">Status</font></b> <a href="http://www.opencores.org/projects/graphicallcd/admin?edit_used_block=txt&amp;page=Overview&amp;used_block=status">
          <img alt="Click here to edit this block" border="0" src="index.shtml.content/edit.gif"></a></p><p></p><ul><li>...</li><li>...</li></ul><p><b><font size="+1">Project news</font></b> <a href="http://www.opencores.org/projects/graphicallcd/admin?edit_used_block=txt&amp;page=index.shtml&amp;used_block=news">
          <img alt="Click here to edit this block" border="0" src="index.shtml.content/edit.gif"></a></p><p><table width="100%" bgcolor="#000000" cellspacing="0" cellpadding="0" border="0"><tbody><tr><td><table width="100%" cellspacing="1" cellpadding="3" border="0"><tbody><tr bgcolor="#c0e0ff"><td valign="top"><b>Date</b></td><td valign="top"><b>News</b></td></tr><tr bgcolor="#ffffff"><td valign="top">16/12/2003</td><td valign="top">Project started<br></td></tr></tbody></table></td></tr></tbody></table></p><p><b><font size="+1">Maintainers</font></b> <a href="http://www.opencores.org/projects/graphicallcd/admin?edit_used_block=txt&amp;page=index.shtml&amp;used_block=maintainers">
          <img alt="Click here to edit this block" border="0" src="index.shtml.content/edit.gif"></a></p><p></p><ul><li><a href="http://www.opencores.org/people/maihde">Michael Ihde</a></li></ul><p>
 
 
 
 
 
 
 
<!-- /BODY -->
             <img width="400" height="1" src="index.shtml.content/dotty.gif">
             <img width="30" height="1" src="index.shtml.content/dotty.gif"> <img width="30" height="1" src="index.shtml.content/dotty.gif"> <img width="30" height="1" src="index.shtml.content/dotty.gif">
             <img width="30" height="1" src="index.shtml.content/dotty.gif"> <img width="30" height="1" src="index.shtml.content/dotty.gif"> <img width="30" height="1" src="index.shtml.content/dotty.gif">
             <img width="30" height="1" src="index.shtml.content/dotty.gif"> <img width="30" height="1" src="index.shtml.content/dotty.gif"> <img width="30" height="1" src="index.shtml.content/dotty.gif">
             <img width="30" height="1" src="index.shtml.content/dotty.gif"> <img width="30" height="1" src="index.shtml.content/dotty.gif"> <img width="30" height="1" src="index.shtml.content/dotty.gif">
             <img width="30" height="1" src="index.shtml.content/dotty.gif"> <img width="30" height="1" src="index.shtml.content/dotty.gif"> <img width="30" height="1" src="index.shtml.content/dotty.gif">
             <img width="30" height="1" src="index.shtml.content/dotty.gif"> <img width="30" height="1" src="index.shtml.content/dotty.gif"> <img width="30" height="1" src="index.shtml.content/dotty.gif">
             <img width="30" height="1" src="index.shtml.content/dotty.gif"> <img width="30" height="1" src="index.shtml.content/dotty.gif"> <img width="30" height="1" src="index.shtml.content/dotty.gif">
             <img width="30" height="1" src="index.shtml.content/dotty.gif"> <img width="30" height="1" src="index.shtml.content/dotty.gif"> <img width="30" height="1" src="index.shtml.content/dotty.gif">
             <img width="30" height="1" src="index.shtml.content/dotty.gif"> <img width="30" height="1" src="index.shtml.content/dotty.gif"> <img width="30" height="1" src="index.shtml.content/dotty.gif">
 
               </p><p><br>
              </p></td>
              <td width="10"> </td>
            </tr>
          </tbody></table>
        </td>
      </tr>
      <tr>
        <td bgcolor="#f0f0f0" width="150" valign="top">
          <center>
          <table border="0" cellpadding="6" cellspacing="0"><tbody><tr><td><a href="http://www.opencores.org/forums/post?to=1b5dbe2b2026ae49ee163366fae4d693">webmaster</a></td></tr></tbody></table>
        </center></td>
        <td valign="bottom" height="1" bgcolor="#000000"><img width="1" src="index.shtml.content/dotty.gif" height="1" alt=""></td>
        <td valign="top" bgcolor="#ffffff" align="right">
           
        </td>
      </tr>
    </tbody></table>
 
  <table width="100%" valign="TOP" cellspacing="0" cellpadding="0" border="0">
    <tbody><tr>
      <td height="1" bgcolor="#000000"><img width="1" src="index.shtml.content/dotty.gif" height="1" alt=""></td>
    </tr>
    <tr>
      <td>
        <center>
        <table border="0" cellpadding="4" cellspacing="0"><tbody><tr><td><center>Administrative contact: <a href="http://www.opencores.org/forums/post?to=2ee116c5a2d2e9ecceb4351ce864dc7b">administration@opencores.org</a></center></td></tr><tr><td>Copyright ©1999-2003 OPENCORES.ORG. All rights reserved.</td></tr></tbody></table>
      </center></td>
    </tr>
  </tbody></table>
 
</body></html>

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.