OpenCores
URL https://opencores.org/ocsvn/graphicsaccelerator/graphicsaccelerator/trunk

Subversion Repositories graphicsaccelerator

[/] [graphicsaccelerator/] [trunk/] [LineFPGA.gise] - Rev 2

Compare with Previous | Blame | View Log

<?xml version="1.0" encoding="UTF-8" standalone="no" ?>
<generated_project xmlns="http://www.xilinx.com/XMLSchema" xmlns:xil_pn="http://www.xilinx.com/XMLSchema">

  <!--                                                          -->

  <!--             For tool use only. Do not edit.              -->

  <!--                                                          -->

  <!-- ProjectNavigator created generated project file.         -->

  <!-- For use in tracking generated file and other information -->

  <!-- allowing preservation of process status.                 -->

  <!--                                                          -->

  <!-- Copyright (c) 1995-2011 Xilinx, Inc.  All rights reserved. -->

  <version xmlns="http://www.xilinx.com/XMLSchema">11.1</version>

  <sourceproject xmlns="http://www.xilinx.com/XMLSchema" xil_pn:fileType="FILE_XISE" xil_pn:name="LineFPGA.xise"/>

  <files xmlns="http://www.xilinx.com/XMLSchema">
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_LSO" xil_pn:name=".lso"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NGR" xil_pn:name="Bresenhamer.ngr"/>
    <file xil_pn:branch="BehavioralSim" xil_pn:fileType="FILE_ISIM_EXE" xil_pn:name="Bresenhamer_isim_beh.exe"/>
    <file xil_pn:fileType="FILE_XST_PROJECT" xil_pn:name="Bresenhammer_Sim_beh.prj"/>
    <file xil_pn:branch="BehavioralSim" xil_pn:fileType="FILE_ISIM_EXE" xil_pn:name="Bresenhammer_Sim_isim_beh.exe"/>
    <file xil_pn:fileType="FILE_ISIM_MISC" xil_pn:name="Bresenhammer_Sim_isim_beh.wdb"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NGR" xil_pn:name="Synchronizer.ngr"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_BITGEN_REPORT" xil_pn:name="VGA_Top.bgn" xil_pn:subbranch="FPGAConfiguration"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_BIT" xil_pn:name="VGA_Top.bit" xil_pn:subbranch="FPGAConfiguration"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NGDBUILD_LOG" xil_pn:name="VGA_Top.bld"/>
    <file xil_pn:fileType="FILE_CMD_LOG" xil_pn:name="VGA_Top.cmd_log"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_BITGEN_DRC" xil_pn:name="VGA_Top.drc" xil_pn:subbranch="FPGAConfiguration"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_LSO" xil_pn:name="VGA_Top.lso"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NCD" xil_pn:name="VGA_Top.ncd" xil_pn:subbranch="Par"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NGC" xil_pn:name="VGA_Top.ngc"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NGD" xil_pn:name="VGA_Top.ngd"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NGR" xil_pn:name="VGA_Top.ngr"/>
    <file xil_pn:fileType="FILE_PAD_MISC" xil_pn:name="VGA_Top.pad"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_PAR_REPORT" xil_pn:name="VGA_Top.par" xil_pn:subbranch="Par"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_PCF" xil_pn:name="VGA_Top.pcf" xil_pn:subbranch="Map"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_XST_PROJECT" xil_pn:name="VGA_Top.prj"/>
    <file xil_pn:fileType="FILE_TRCE_MISC" xil_pn:name="VGA_Top.ptwx"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_XST_STX" xil_pn:name="VGA_Top.stx"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_XST_REPORT" xil_pn:name="VGA_Top.syr"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_TIMING_TXT_REPORT" xil_pn:name="VGA_Top.twr" xil_pn:subbranch="Par"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_TIMING_XML_REPORT" xil_pn:name="VGA_Top.twx" xil_pn:subbranch="Par"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_UNROUTES" xil_pn:name="VGA_Top.unroutes" xil_pn:subbranch="Par"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_BITGEN_REPORT" xil_pn:name="VGA_Top.ut" xil_pn:subbranch="FPGAConfiguration"/>
    <file xil_pn:fileType="FILE_XPI" xil_pn:name="VGA_Top.xpi"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_XST" xil_pn:name="VGA_Top.xst"/>
    <file xil_pn:fileType="FILE_HTML" xil_pn:name="VGA_Top_envsettings.html"/>
    <file xil_pn:fileType="FILE_NCD" xil_pn:name="VGA_Top_guide.ncd" xil_pn:origination="imported"/>
    <file xil_pn:branch="BehavioralSim" xil_pn:fileType="FILE_ISIM_EXE" xil_pn:name="VGA_Top_isim_beh.exe"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_MAP_REPORT" xil_pn:name="VGA_Top_map.map" xil_pn:subbranch="Map"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_MAP_REPORT" xil_pn:name="VGA_Top_map.mrp" xil_pn:subbranch="Map"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NCD" xil_pn:name="VGA_Top_map.ncd" xil_pn:subbranch="Map"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NGM" xil_pn:name="VGA_Top_map.ngm" xil_pn:subbranch="Map"/>
    <file xil_pn:fileType="FILE_XRPT" xil_pn:name="VGA_Top_map.xrpt"/>
    <file xil_pn:fileType="FILE_XRPT" xil_pn:name="VGA_Top_ngdbuild.xrpt"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_PAD_EXCEL_REPORT" xil_pn:name="VGA_Top_pad.csv" xil_pn:subbranch="Par"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_PAD_TXT_REPORT" xil_pn:name="VGA_Top_pad.txt" xil_pn:subbranch="Par"/>
    <file xil_pn:fileType="FILE_XRPT" xil_pn:name="VGA_Top_par.xrpt"/>
    <file xil_pn:fileType="FILE_HTML" xil_pn:name="VGA_Top_summary.html"/>
    <file xil_pn:fileType="FILE_FITTER_REPORT" xil_pn:name="VGA_Top_summary.xml"/>
    <file xil_pn:fileType="FILE_WEBTALK" xil_pn:name="VGA_Top_usage.xml"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_XST_PROJECT" xil_pn:name="VGA_Top_vhdl.prj"/>
    <file xil_pn:fileType="FILE_XRPT" xil_pn:name="VGA_Top_xst.xrpt"/>
    <file xil_pn:fileType="FILE_DIRECTORY" xil_pn:name="_ngo"/>
    <file xil_pn:fileType="FILE_XMSGS" xil_pn:name="_xmsgs/bitgen.xmsgs"/>
    <file xil_pn:fileType="FILE_XMSGS" xil_pn:name="_xmsgs/map.xmsgs"/>
    <file xil_pn:fileType="FILE_XMSGS" xil_pn:name="_xmsgs/ngdbuild.xmsgs"/>
    <file xil_pn:fileType="FILE_XMSGS" xil_pn:name="_xmsgs/par.xmsgs"/>
    <file xil_pn:fileType="FILE_XMSGS" xil_pn:name="_xmsgs/trce.xmsgs"/>
    <file xil_pn:fileType="FILE_XMSGS" xil_pn:name="_xmsgs/xst.xmsgs"/>
    <file xil_pn:fileType="FILE_LOG" xil_pn:name="fuse.log"/>
    <file xil_pn:fileType="FILE_DIRECTORY" xil_pn:name="isim"/>
    <file xil_pn:branch="BehavioralSim" xil_pn:fileType="FILE_CMD" xil_pn:name="isim.cmd"/>
    <file xil_pn:branch="BehavioralSim" xil_pn:fileType="FILE_LOG" xil_pn:name="isim.log"/>
    <file xil_pn:fileType="FILE_HTML" xil_pn:name="usage_statistics_webtalk.html"/>
    <file xil_pn:fileType="FILE_LOG" xil_pn:name="webtalk.log"/>
    <file xil_pn:fileType="FILE_FITTER_REPORT" xil_pn:name="webtalk_pn.xml"/>
    <file xil_pn:branch="BehavioralSim" xil_pn:fileType="FILE_INI" xil_pn:name="xilinxsim.ini"/>
    <file xil_pn:fileType="FILE_DIRECTORY" xil_pn:name="xlnx_auto_0_xdb"/>
    <file xil_pn:fileType="FILE_DIRECTORY" xil_pn:name="xst"/>
  </files>

  <transforms xmlns="http://www.xilinx.com/XMLSchema">
    <transform xil_pn:end_ts="1305400643" xil_pn:name="TRAN_copyInitialToAbstractSimulation" xil_pn:start_ts="1305400643">
      <status xil_pn:value="SuccessfullyRun"/>
      <status xil_pn:value="ReadyToRun"/>
    </transform>
    <transform xil_pn:end_ts="1305526714" xil_pn:in_ck="2503867743570425711" xil_pn:name="TRAN_copyAbstractToPostAbstractSimulation" xil_pn:start_ts="1305526714">
      <status xil_pn:value="SuccessfullyRun"/>
      <status xil_pn:value="ReadyToRun"/>
      <status xil_pn:value="OutOfDateForInputs"/>
      <status xil_pn:value="OutOfDateForOutputs"/>
      <status xil_pn:value="InputChanged"/>
      <status xil_pn:value="OutputChanged"/>
      <outfile xil_pn:name="Bresenhamer.vhd"/>
      <outfile xil_pn:name="Bresenhammer_Sim.vhd"/>
      <outfile xil_pn:name="Debouncer.vhd"/>
      <outfile xil_pn:name="FrameBuffer2.vhd"/>
      <outfile xil_pn:name="FreqDiv.vhd"/>
      <outfile xil_pn:name="Pointer.vhd"/>
      <outfile xil_pn:name="SevenSegment.vhd"/>
      <outfile xil_pn:name="Synchronizer.vhd"/>
      <outfile xil_pn:name="VGA_Top.vhd"/>
    </transform>
    <transform xil_pn:end_ts="1305524579" xil_pn:name="TRAN_xawsToSimhdl" xil_pn:prop_ck="-2178746299839342665" xil_pn:start_ts="1305524579">
      <status xil_pn:value="SuccessfullyRun"/>
      <status xil_pn:value="ReadyToRun"/>
    </transform>
    <transform xil_pn:end_ts="1305524579" xil_pn:name="TRAN_schematicsToHdlSim" xil_pn:prop_ck="-314609399199689617" xil_pn:start_ts="1305524579">
      <status xil_pn:value="SuccessfullyRun"/>
      <status xil_pn:value="ReadyToRun"/>
    </transform>
    <transform xil_pn:end_ts="1305400643" xil_pn:name="TRAN_regenerateCoresSim" xil_pn:prop_ck="2734438118991165348" xil_pn:start_ts="1305400643">
      <status xil_pn:value="SuccessfullyRun"/>
      <status xil_pn:value="ReadyToRun"/>
    </transform>
    <transform xil_pn:end_ts="1305526714" xil_pn:in_ck="2503867743570425711" xil_pn:name="TRAN_copyPostAbstractToPreSimulation" xil_pn:start_ts="1305526714">
      <status xil_pn:value="SuccessfullyRun"/>
      <status xil_pn:value="ReadyToRun"/>
      <status xil_pn:value="OutOfDateForInputs"/>
      <status xil_pn:value="OutOfDateForPredecessor"/>
      <status xil_pn:value="OutOfDateForOutputs"/>
      <status xil_pn:value="InputChanged"/>
      <status xil_pn:value="OutputChanged"/>
      <outfile xil_pn:name="Bresenhamer.vhd"/>
      <outfile xil_pn:name="Bresenhammer_Sim.vhd"/>
      <outfile xil_pn:name="Debouncer.vhd"/>
      <outfile xil_pn:name="FrameBuffer2.vhd"/>
      <outfile xil_pn:name="FreqDiv.vhd"/>
      <outfile xil_pn:name="Pointer.vhd"/>
      <outfile xil_pn:name="SevenSegment.vhd"/>
      <outfile xil_pn:name="Synchronizer.vhd"/>
      <outfile xil_pn:name="VGA_Top.vhd"/>
    </transform>
    <transform xil_pn:end_ts="1305526716" xil_pn:in_ck="2503867743570425711" xil_pn:name="TRAN_ISimulateBehavioralModelRunFuse" xil_pn:prop_ck="8913735341482584907" xil_pn:start_ts="1305526714">
      <status xil_pn:value="SuccessfullyRun"/>
      <status xil_pn:value="ReadyToRun"/>
      <status xil_pn:value="OutOfDateForInputs"/>
      <status xil_pn:value="OutOfDateForPredecessor"/>
      <status xil_pn:value="OutOfDateForOutputs"/>
      <status xil_pn:value="InputChanged"/>
      <status xil_pn:value="OutputChanged"/>
      <outfile xil_pn:name="Bresenhammer_Sim_beh.prj"/>
      <outfile xil_pn:name="Bresenhammer_Sim_isim_beh.exe"/>
      <outfile xil_pn:name="fuse.log"/>
      <outfile xil_pn:name="isim"/>
      <outfile xil_pn:name="isim.log"/>
      <outfile xil_pn:name="xilinxsim.ini"/>
    </transform>
    <transform xil_pn:end_ts="1305526716" xil_pn:in_ck="-5809872377460292630" xil_pn:name="TRAN_ISimulateBehavioralModel" xil_pn:prop_ck="7309777567025409048" xil_pn:start_ts="1305526716">
      <status xil_pn:value="SuccessfullyRun"/>
      <status xil_pn:value="ReadyToRun"/>
      <status xil_pn:value="OutOfDateForPredecessor"/>
      <status xil_pn:value="OutOfDateForOutputs"/>
      <status xil_pn:value="OutputChanged"/>
      <outfile xil_pn:name="Bresenhammer_Sim_isim_beh.wdb"/>
      <outfile xil_pn:name="isim.cmd"/>
      <outfile xil_pn:name="isim.log"/>
    </transform>
    <transform xil_pn:end_ts="1305448513" xil_pn:name="TRAN_copyInitialToXSTAbstractSynthesis" xil_pn:start_ts="1305448513">
      <status xil_pn:value="SuccessfullyRun"/>
      <status xil_pn:value="ReadyToRun"/>
    </transform>
    <transform xil_pn:end_ts="1305448513" xil_pn:name="TRAN_schematicsToHdl" xil_pn:prop_ck="-7311912820299960981" xil_pn:start_ts="1305448513">
      <status xil_pn:value="SuccessfullyRun"/>
      <status xil_pn:value="ReadyToRun"/>
    </transform>
    <transform xil_pn:end_ts="1305448513" xil_pn:name="TRAN_regenerateCores" xil_pn:prop_ck="2734438118991165348" xil_pn:start_ts="1305448513">
      <status xil_pn:value="SuccessfullyRun"/>
      <status xil_pn:value="ReadyToRun"/>
    </transform>
    <transform xil_pn:end_ts="1305448513" xil_pn:name="TRAN_SubProjectAbstractToPreProxy" xil_pn:start_ts="1305448513">
      <status xil_pn:value="SuccessfullyRun"/>
      <status xil_pn:value="ReadyToRun"/>
    </transform>
    <transform xil_pn:end_ts="1305448513" xil_pn:name="TRAN_xawsTohdl" xil_pn:prop_ck="-8128165270196789325" xil_pn:start_ts="1305448513">
      <status xil_pn:value="SuccessfullyRun"/>
      <status xil_pn:value="ReadyToRun"/>
    </transform>
    <transform xil_pn:end_ts="1305448513" xil_pn:name="TRAN_SubProjectPreToStructuralProxy" xil_pn:prop_ck="1110319057727724918" xil_pn:start_ts="1305448513">
      <status xil_pn:value="SuccessfullyRun"/>
      <status xil_pn:value="ReadyToRun"/>
    </transform>
    <transform xil_pn:end_ts="1305448513" xil_pn:name="TRAN_platgen" xil_pn:prop_ck="1578705280392829550" xil_pn:start_ts="1305448513">
      <status xil_pn:value="SuccessfullyRun"/>
      <status xil_pn:value="ReadyToRun"/>
    </transform>
    <transform xil_pn:end_ts="1305649306" xil_pn:in_ck="-4718963519837255522" xil_pn:name="TRANEXT_xstsynthesize_spartan3" xil_pn:prop_ck="6774675632067908162" xil_pn:start_ts="1305649272">
      <status xil_pn:value="SuccessfullyRun"/>
      <status xil_pn:value="WarningsGenerated"/>
      <status xil_pn:value="ReadyToRun"/>
      <status xil_pn:value="OutOfDateForOutputs"/>
      <status xil_pn:value="OutputChanged"/>
      <outfile xil_pn:name=".lso"/>
      <outfile xil_pn:name="Bresenhamer.ngr"/>
      <outfile xil_pn:name="Synchronizer.ngr"/>
      <outfile xil_pn:name="VGA_Top.lso"/>
      <outfile xil_pn:name="VGA_Top.ngc"/>
      <outfile xil_pn:name="VGA_Top.ngr"/>
      <outfile xil_pn:name="VGA_Top.prj"/>
      <outfile xil_pn:name="VGA_Top.stx"/>
      <outfile xil_pn:name="VGA_Top.syr"/>
      <outfile xil_pn:name="VGA_Top.xst"/>
      <outfile xil_pn:name="VGA_Top_xst.xrpt"/>
      <outfile xil_pn:name="_xmsgs/xst.xmsgs"/>
      <outfile xil_pn:name="webtalk_pn.xml"/>
      <outfile xil_pn:name="xst"/>
    </transform>
    <transform xil_pn:end_ts="1305448541" xil_pn:in_ck="135106586399494812" xil_pn:name="TRAN_compileBCD2" xil_pn:prop_ck="4158875083052973192" xil_pn:start_ts="1305448541">
      <status xil_pn:value="SuccessfullyRun"/>
      <status xil_pn:value="ReadyToRun"/>
    </transform>
    <transform xil_pn:end_ts="1305649313" xil_pn:in_ck="-7548896054563387854" xil_pn:name="TRANEXT_ngdbuild_FPGA" xil_pn:prop_ck="3861151913219985612" xil_pn:start_ts="1305649306">
      <status xil_pn:value="SuccessfullyRun"/>
      <status xil_pn:value="ReadyToRun"/>
      <outfile xil_pn:name="VGA_Top.bld"/>
      <outfile xil_pn:name="VGA_Top.ngd"/>
      <outfile xil_pn:name="VGA_Top_ngdbuild.xrpt"/>
      <outfile xil_pn:name="_ngo"/>
      <outfile xil_pn:name="_xmsgs/ngdbuild.xmsgs"/>
    </transform>
    <transform xil_pn:end_ts="1305649322" xil_pn:in_ck="-7498353948049661037" xil_pn:name="TRANEXT_map_spartan3" xil_pn:prop_ck="3855906223012716089" xil_pn:start_ts="1305649313">
      <status xil_pn:value="SuccessfullyRun"/>
      <status xil_pn:value="ReadyToRun"/>
      <outfile xil_pn:name="VGA_Top.pcf"/>
      <outfile xil_pn:name="VGA_Top_map.map"/>
      <outfile xil_pn:name="VGA_Top_map.mrp"/>
      <outfile xil_pn:name="VGA_Top_map.ncd"/>
      <outfile xil_pn:name="VGA_Top_map.ngm"/>
      <outfile xil_pn:name="VGA_Top_map.xrpt"/>
      <outfile xil_pn:name="VGA_Top_summary.xml"/>
      <outfile xil_pn:name="VGA_Top_usage.xml"/>
      <outfile xil_pn:name="_xmsgs/map.xmsgs"/>
    </transform>
    <transform xil_pn:end_ts="1305649363" xil_pn:in_ck="-8368976880578920692" xil_pn:name="TRANEXT_par_spartan3" xil_pn:prop_ck="3333849164707216606" xil_pn:start_ts="1305649322">
      <status xil_pn:value="SuccessfullyRun"/>
      <status xil_pn:value="ReadyToRun"/>
      <outfile xil_pn:name="VGA_Top.ncd"/>
      <outfile xil_pn:name="VGA_Top.pad"/>
      <outfile xil_pn:name="VGA_Top.par"/>
      <outfile xil_pn:name="VGA_Top.ptwx"/>
      <outfile xil_pn:name="VGA_Top.unroutes"/>
      <outfile xil_pn:name="VGA_Top.xpi"/>
      <outfile xil_pn:name="VGA_Top_pad.csv"/>
      <outfile xil_pn:name="VGA_Top_pad.txt"/>
      <outfile xil_pn:name="VGA_Top_par.xrpt"/>
      <outfile xil_pn:name="_xmsgs/par.xmsgs"/>
    </transform>
    <transform xil_pn:end_ts="1305649378" xil_pn:in_ck="135106586399487187" xil_pn:name="TRANEXT_bitFile_spartan3" xil_pn:prop_ck="4026351017656627060" xil_pn:start_ts="1305649363">
      <status xil_pn:value="SuccessfullyRun"/>
      <status xil_pn:value="ReadyToRun"/>
      <outfile xil_pn:name="VGA_Top.bgn"/>
      <outfile xil_pn:name="VGA_Top.bit"/>
      <outfile xil_pn:name="VGA_Top.drc"/>
      <outfile xil_pn:name="VGA_Top.ut"/>
      <outfile xil_pn:name="_xmsgs/bitgen.xmsgs"/>
      <outfile xil_pn:name="usage_statistics_webtalk.html"/>
      <outfile xil_pn:name="webtalk.log"/>
      <outfile xil_pn:name="webtalk_pn.xml"/>
    </transform>
    <transform xil_pn:end_ts="1305649363" xil_pn:in_ck="4276832065847950735" xil_pn:name="TRAN_postRouteTrce" xil_pn:prop_ck="445577401284416187" xil_pn:start_ts="1305649361">
      <status xil_pn:value="SuccessfullyRun"/>
      <status xil_pn:value="ReadyToRun"/>
      <outfile xil_pn:name="VGA_Top.twr"/>
      <outfile xil_pn:name="VGA_Top.twx"/>
      <outfile xil_pn:name="_xmsgs/trce.xmsgs"/>
    </transform>
  </transforms>

</generated_project>

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.