OpenCores
URL https://opencores.org/ocsvn/hdl-deflate/hdl-deflate/trunk

Subversion Repositories hdl-deflate

[/] [hdl-deflate/] [trunk/] [Makefile] - Rev 2

Compare with Previous | Blame | View Log

PYTHON=python3

MODULES=deflate.py test_deflate.py

all: build test

build: $(MODULES)
        for p in $?; do $(PYTHON) $$p; done

test: icarus

test_fast_bench.v: $(MODULES)
        for p in $?; do $(PYTHON) $$p; done

icarus: test_fast_bench.v
        iverilog -o test_deflate test_fast_bench.v dump.v
        vvp test_deflate

clean:
        rm -f *.vcd

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.