OpenCores
URL https://opencores.org/ocsvn/lcd/lcd/trunk

Subversion Repositories lcd

[/] [lcd/] [web_uploads/] [counterv.shtml] - Rev 6

Compare with Previous | Blame | View Log

<html>
<head>
<title>OPENCORES.ORG</title>
<META NAME="keywords" CONTENT="cores, VHDL, Verilog HDL, ASIC, Synthesizable,
standard cell, IP, Intellectual Property, 32-bit RISC, UART, PCI, SDRAM,
full custom, system on a chip, SOC, reusable, design, development, synthesis,
designs, developers, C, Linux, eCos, open, free, open source cores, RTL code,
system-on-a-chip, circuits, digital, GNU, GPL, core, controller, processor,
system design, chip design, EDA, design methodology, design tools, ASICs, programmable logic,
FPGA's, PLDs, CPLDs, verification, Synthesis, HDL, Simulation, IC design software,
semiconductor design, integrated circuits, system designs, chip designs, EDAs, 
design methodologies, design tool, ASIC, programmable logics, FPGA, PLD, CPLD, Synthesis, 
circuit, Synopsys, system design, chip design, programmable logic, FPGA's, PLDs, 
CPLDs, verification, Simulation">
<META NAME="description" CONTENT="OPENCORES.ORG endorses development and hosts
a repository of free, open source IP cores (chip designs, System-on-a-Chip) and
supplemental boards.">
</head>
 
<body bgcolor=#ffffff>
 
<table width="100%" cellspacing=5 cellpadding=0 border=0>
    <tr valign="top"><td>
    <center>
        <table cellspacing=0 cellpadding=5 width="100%" valign="top" border=0>
<tr valign="top"><td bgcolor=#f0f0f0 valign="top">
<center><font size=+3><b>OPENCORES.ORG</b></font>
<br><font size=-4><font color=#ffffff>.</font></font>
<br> 
</center> 
 
</td></tr></table>
 
 
    </center>
 
 
    </td></tr>
 
    <tr valign="top"><td>
    <table border=0 cellspacing=0 cellpadding=5 width="100%"><tr valign="top"><td bgcolor="#f8f8f0">
        &nbsp;
 
 
    </td>
    <td valign="top">
    <table cellpadding=5 width="673"><tr><td valign="top" width="657">
 
<font SIZE="2">-- VHDL structural description generated from `counter`
<p>-- date : Tue Feb 20 14:23:43 2001</p>
<p>&nbsp;</p>
<p>-- Entity Declaration</p>
<p>ENTITY counter IS</p>
<p>PORT (</p>
<p>e : in BIT; -- e</p>
<p>ck : in BIT; -- ck</p>
<p>res : in BIT; -- res</p>
<p>vdd : in BIT; -- vdd</p>
<p>vss : in BIT; -- vss</p>
<p>a : out BIT_VECTOR (0 TO 4); -- a</p>
<p>q_c : out BIT -- q_c</p>
<p>);</p>
<p>END counter;</p>
<p>-- Architecture Declaration</p>
<p>ARCHITECTURE VST OF counter IS</p>
<p>COMPONENT a2_y</p>
<p>port (</p>
<p>i0 : in BIT; -- i0</p>
<p>i1 : in BIT; -- i1</p>
<p>t : out BIT; -- t</p>
<p>vdd : in BIT; -- vdd</p>
<p>vss : in BIT -- vss</p>
<p>);</p>
<p>END COMPONENT;</p>
<p>COMPONENT xr2_y</p>
<p>port (</p>
<p>i0 : in BIT; -- i0</p>
<p>i1 : in BIT; -- i1</p>
<p>t : out BIT; -- t</p>
<p>vdd : in BIT; -- vdd</p>
<p>vss : in BIT -- vss</p>
<p>);</p>
<p>END COMPONENT;</p>
<p>COMPONENT dffres</p>
<p>port (</p>
<p>input : in BIT; -- input</p>
<p>ck : in BIT; -- ck</p>
<p>reset : in BIT; -- reset</p>
<p>output : out BIT; -- output</p>
<p>vdd : in BIT; -- vdd</p>
<p>vss : in BIT -- vss</p>
<p>);</p>
<p>END COMPONENT;</p>
<p>SIGNAL c_1 : BIT; -- c_1</p>
<p>SIGNAL c_2 : BIT; -- c_2</p>
<p>SIGNAL c_3 : BIT; -- c_3</p>
<p>SIGNAL d_1 : BIT; -- d_1</p>
<p>SIGNAL d_2 : BIT; -- d_2</p>
<p>SIGNAL d_3 : BIT; -- d_3</p>
<p>SIGNAL d_4 : BIT; -- d_4</p>
<p>BEGIN</p>
<p>an00 : a2_y</p>
<p>PORT MAP (</p>
<p>vss =&gt; vss,</p>
<p>vdd =&gt; vdd,</p>
<p>t =&gt; c_1,</p>
<p>i1 =&gt; a(0),</p>
<p>i0 =&gt; e);</p>
<p>an01 : a2_y</p>
<p>PORT MAP (</p>
<p>vss =&gt; vss,</p>
<p>vdd =&gt; vdd,</p>
<p>t =&gt; c_2,</p>
<p>i1 =&gt; a(1),</p>
<p>i0 =&gt; c_1);</p>
<p>an02 : a2_y</p>
<p>PORT MAP (</p>
<p>vss =&gt; vss,</p>
<p>vdd =&gt; vdd,</p>
<p>t =&gt; c_3,</p>
<p>i1 =&gt; a(2),</p>
<p>i0 =&gt; c_2);</p>
<p>an03 : a2_y</p>
<p>PORT MAP (</p>
<p>vss =&gt; vss,</p>
<p>vdd =&gt; vdd,</p>
<p>t =&gt; q_c,</p>
<p>i1 =&gt; a(3),</p>
<p>i0 =&gt; c_3);</p>
<p>xr00 : xr2_y</p>
<p>PORT MAP (</p>
<p>vss =&gt; vss,</p>
<p>vdd =&gt; vdd,</p>
<p>t =&gt; d_1,</p>
<p>i1 =&gt; a(0),</p>
<p>i0 =&gt; e);</p>
<p>xr01 : xr2_y</p>
<p>PORT MAP (</p>
<p>vss =&gt; vss,</p>
<p>vdd =&gt; vdd,</p>
<p>t =&gt; d_2,</p>
<p>i1 =&gt; a(1),</p>
<p>i0 =&gt; c_1);</p>
<p>xr02 : xr2_y</p>
<p>PORT MAP (</p>
<p>vss =&gt; vss,</p>
<p>vdd =&gt; vdd,</p>
<p>t =&gt; d_3,</p>
<p>i1 =&gt; a(2),</p>
<p>i0 =&gt; c_2);</p>
<p>xr03 : xr2_y</p>
<p>PORT MAP (</p>
<p>vss =&gt; vss,</p>
<p>vdd =&gt; vdd,</p>
<p>t =&gt; d_4,</p>
<p>i1 =&gt; a(3),</p>
<p>i0 =&gt; c_3);</p>
<p>dff0 : dffres</p>
<p>PORT MAP (</p>
<p>vss =&gt; vss,</p>
<p>vdd =&gt; vdd,</p>
<p>output =&gt; a(0),</p>
<p>reset =&gt; res,</p>
<p>ck =&gt; ck,</p>
<p>input =&gt; d_1);</p>
<p>dff1 : dffres</p>
<p>PORT MAP (</p>
<p>vss =&gt; vss,</p>
<p>vdd =&gt; vdd,</p>
<p>output =&gt; a(1),</p>
<p>reset =&gt; res,</p>
<p>ck =&gt; ck,</p>
<p>input =&gt; d_2);</p>
<p>dff2 : dffres</p>
<p>PORT MAP (</p>
<p>vss =&gt; vss,</p>
<p>vdd =&gt; vdd,</p>
<p>output =&gt; a(3),</p>
<p>reset =&gt; res,</p>
<p>ck =&gt; ck,</p>
<p>input =&gt; d_3);</p>
<p>dff3 : dffres</p>
<p>PORT MAP (</p>
<p>vss =&gt; vss,</p>
<p>vdd =&gt; vdd,</p>
<p>output =&gt; a(4),</p>
<p>reset =&gt; res,</p>
<p>ck =&gt; ck,</p>
<p>input =&gt; d_4);</p>
<p>end VST;</p>
</font>
 
<b><font size=+1>Maintainers and Authors :</font></b>
<p>LCD Driver development team
<p>current members:
 
<ul>
<li>
<a href="mailto:marta@vlsi.itb.ac.id">Hendra Gunawan</a></li>
 
<li>
<a href="mailto:sigit@students.ee.itb.ac.id">Nurhadi Wiyono</a></li>
 
<li>
<a href="mailto:sigit@students.ee.itb.ac.id">Kharisma Sinung P</a></li>
 
</ul>
&nbsp;
<p>
<b><font size=+1>Mailing-list:</font></b>
<ul><a href="mailto:cores@opencores.org_NOSPAM">cores@opencores.org_NOSPAM</a></ul>
 
 
 
 
 
 
</td></tr></table>
</td></tr>
<tr><td bgcolor="#f8f8f0">&nbsp;</td>
<td valign="bottom">
<table cellspacing=0 cellpadding=4 border=0 width="100%"bgcolor="#f0f0f0"><tr>
<td align=left><i><small>Last modified on Sunday, 17-Sep-2000 03:58:04 JAVT</i></td>
<td align=right><i><small>Copyright © 1999-2000 OPENCORES.ORG. All rights reserved.</td>
</tr></table>
 
</td></tr></table>
 
</td></tr></table>
 
</body></html>
 

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.