OpenCores
URL https://opencores.org/ocsvn/lcd162b_behavior/lcd162b_behavior/trunk

Subversion Repositories lcd162b_behavior

[/] [lcd162b_behavior/] [trunk/] [lcd162b.vhd] - Rev 2

Compare with Previous | Blame | View Log

library ieee;
use ieee.std_logic_1164.all;
 
entity lcd162b is
	port (
		rs : in std_logic;
		rw : in std_logic;
		e  : in std_logic;
		db : inout std_logic_vector(7 downto 0);
 
		line1 : out string(1 to 16);
		line2 : out string(1 to 16) 
	);
end entity lcd162b;
 
architecture RTL of lcd162b is
 
begin
 
	line1(1 to 5) <= "hallo";
	line2(1 to 2) <= "du";
 
end architecture RTL;
 

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.