OpenCores
URL https://opencores.org/ocsvn/lcd162b_behavior/lcd162b_behavior/trunk

Subversion Repositories lcd162b_behavior

[/] [lcd162b_behavior/] [trunk/] [testbench.vhd] - Rev 2

Compare with Previous | Blame | View Log

library ieee;
use ieee.std_logic_1164.all;
 
entity testbench is
end entity testbench;
 
architecture RTL of testbench is
 
	component lcd162b is
		port (
			rs : in std_logic;
			rw : in std_logic;
			e  : in std_logic;
			db : inout std_logic_vector(7 downto 0);
 
			line1 : out string(1 to 16);
			line2 : out string(1 to 16) 
		);
	end component lcd162b;
 
	signal disp1 : string(1 to 16);
	signal disp2 : string(1 to 16);
 
begin
 
uut : lcd162b
	port map(
		rs => '0',
		rw => '0',
		e  => '0',
		db => open,
		line1 => disp1,
		line2 => disp2
	);
 
end architecture RTL;
 

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.