OpenCores
URL https://opencores.org/ocsvn/lcd_block/lcd_block/trunk

Subversion Repositories lcd_block

[/] [lcd_block/] [trunk/] [hdl/] [iseProject/] [iseProject.gise] - Rev 16

Compare with Previous | Blame | View Log

<?xml version="1.0" encoding="UTF-8" standalone="no" ?>
<generated_project xmlns="http://www.xilinx.com/XMLSchema" xmlns:xil_pn="http://www.xilinx.com/XMLSchema">

  <!--                                                          -->

  <!--             For tool use only. Do not edit.              -->

  <!--                                                          -->

  <!-- ProjectNavigator created generated project file.         -->

  <!-- For use in tracking generated file and other information -->

  <!-- allowing preservation of process status.                 -->

  <!--                                                          -->

  <!-- Copyright (c) 1995-2011 Xilinx, Inc.  All rights reserved. -->

  <version xmlns="http://www.xilinx.com/XMLSchema">11.1</version>

  <sourceproject xmlns="http://www.xilinx.com/XMLSchema" xil_pn:fileType="FILE_XISE" xil_pn:name="iseProject.xise"/>

  <files xmlns="http://www.xilinx.com/XMLSchema">
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_LSO" xil_pn:name=".lso"/>
    <file xil_pn:fileType="FILE_DIRECTORY" xil_pn:name="_ngo"/>
    <file xil_pn:fileType="FILE_XMSGS" xil_pn:name="_xmsgs/bitgen.xmsgs"/>
    <file xil_pn:fileType="FILE_XMSGS" xil_pn:name="_xmsgs/map.xmsgs"/>
    <file xil_pn:fileType="FILE_XMSGS" xil_pn:name="_xmsgs/ngdbuild.xmsgs"/>
    <file xil_pn:fileType="FILE_XMSGS" xil_pn:name="_xmsgs/par.xmsgs"/>
    <file xil_pn:fileType="FILE_XMSGS" xil_pn:name="_xmsgs/trce.xmsgs"/>
    <file xil_pn:fileType="FILE_XMSGS" xil_pn:name="_xmsgs/xst.xmsgs"/>
    <file xil_pn:fileType="FILE_VERILOG_INSTTEMPLATE" xil_pn:name="corePLL.tfi"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_VERILOG" xil_pn:name="corePLL.v"/>
    <file xil_pn:fileType="FILE_UCF" xil_pn:name="corePLL_arwz.ucf" xil_pn:origination="imported"/>
    <file xil_pn:fileType="FILE_LOG" xil_pn:name="fuse.log"/>
    <file xil_pn:fileType="FILE_USERDOC" xil_pn:name="ipcore_dir/coreILA_readme.txt"/>
    <file xil_pn:fileType="FILE_VERILOG" xil_pn:name="ipcore_dir/corePLL.v" xil_pn:origination="imported"/>
    <file xil_pn:fileType="FILE_USERDOC" xil_pn:name="ipcore_dir/coreVIO_readme.txt"/>
    <file xil_pn:fileType="FILE_LOG" xil_pn:name="ipcore_dir/coregen.log"/>
    <file xil_pn:fileType="FILE_DIRECTORY" xil_pn:name="isim"/>
    <file xil_pn:branch="BehavioralSim" xil_pn:fileType="FILE_CMD" xil_pn:name="isim.cmd"/>
    <file xil_pn:branch="BehavioralSim" xil_pn:fileType="FILE_LOG" xil_pn:name="isim.log"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NGDBUILD_LOG" xil_pn:name="lcd_controller.bld"/>
    <file xil_pn:fileType="FILE_CMD_LOG" xil_pn:name="lcd_controller.cmd_log"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_LSO" xil_pn:name="lcd_controller.lso"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NCD" xil_pn:name="lcd_controller.ncd" xil_pn:subbranch="Par"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NGC" xil_pn:name="lcd_controller.ngc"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NGD" xil_pn:name="lcd_controller.ngd"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NGR" xil_pn:name="lcd_controller.ngr"/>
    <file xil_pn:fileType="FILE_PAD_MISC" xil_pn:name="lcd_controller.pad"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_PAR_REPORT" xil_pn:name="lcd_controller.par" xil_pn:subbranch="Par"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_PCF" xil_pn:name="lcd_controller.pcf" xil_pn:subbranch="Map"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_XST_PROJECT" xil_pn:name="lcd_controller.prj"/>
    <file xil_pn:fileType="FILE_TRCE_MISC" xil_pn:name="lcd_controller.ptwx"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_XST_STX" xil_pn:name="lcd_controller.stx"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_XST_REPORT" xil_pn:name="lcd_controller.syr"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_TIMING_TXT_REPORT" xil_pn:name="lcd_controller.twr" xil_pn:subbranch="Par"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_TIMING_XML_REPORT" xil_pn:name="lcd_controller.twx" xil_pn:subbranch="Par"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_UNROUTES" xil_pn:name="lcd_controller.unroutes" xil_pn:subbranch="Par"/>
    <file xil_pn:fileType="FILE_XPI" xil_pn:name="lcd_controller.xpi"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_XST" xil_pn:name="lcd_controller.xst"/>
    <file xil_pn:fileType="FILE_HTML" xil_pn:name="lcd_controller_envsettings.html"/>
    <file xil_pn:fileType="FILE_NCD" xil_pn:name="lcd_controller_guide.ncd" xil_pn:origination="imported"/>
    <file xil_pn:branch="BehavioralSim" xil_pn:fileType="FILE_ISIM_EXE" xil_pn:name="lcd_controller_isim_beh.exe"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_MAP_REPORT" xil_pn:name="lcd_controller_map.map" xil_pn:subbranch="Map"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_MAP_REPORT" xil_pn:name="lcd_controller_map.mrp" xil_pn:subbranch="Map"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NCD" xil_pn:name="lcd_controller_map.ncd" xil_pn:subbranch="Map"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NGM" xil_pn:name="lcd_controller_map.ngm" xil_pn:subbranch="Map"/>
    <file xil_pn:fileType="FILE_XRPT" xil_pn:name="lcd_controller_map.xrpt"/>
    <file xil_pn:fileType="FILE_XRPT" xil_pn:name="lcd_controller_ngdbuild.xrpt"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_PAD_EXCEL_REPORT" xil_pn:name="lcd_controller_pad.csv" xil_pn:subbranch="Par"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_PAD_TXT_REPORT" xil_pn:name="lcd_controller_pad.txt" xil_pn:subbranch="Par"/>
    <file xil_pn:fileType="FILE_XRPT" xil_pn:name="lcd_controller_par.xrpt"/>
    <file xil_pn:fileType="FILE_HTML" xil_pn:name="lcd_controller_summary.html"/>
    <file xil_pn:fileType="FILE_FITTER_REPORT" xil_pn:name="lcd_controller_summary.xml"/>
    <file xil_pn:fileType="FILE_WEBTALK" xil_pn:name="lcd_controller_usage.xml"/>
    <file xil_pn:fileType="FILE_XRPT" xil_pn:name="lcd_controller_xst.xrpt"/>
    <file xil_pn:fileType="FILE_XST_PROJECT" xil_pn:name="testLcd_controller_beh.prj"/>
    <file xil_pn:branch="BehavioralSim" xil_pn:fileType="FILE_ISIM_EXE" xil_pn:name="testLcd_controller_isim_beh.exe"/>
    <file xil_pn:fileType="FILE_ISIM_MISC" xil_pn:name="testLcd_controller_isim_beh.wdb"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_BITGEN_REPORT" xil_pn:name="top_hw_testbench.bgn" xil_pn:subbranch="FPGAConfiguration"/>
    <file xil_pn:fileType="FILE_BIN" xil_pn:name="top_hw_testbench.bin"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_BIT" xil_pn:name="top_hw_testbench.bit" xil_pn:subbranch="FPGAConfiguration"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NGDBUILD_LOG" xil_pn:name="top_hw_testbench.bld"/>
    <file xil_pn:fileType="FILE_CMD_LOG" xil_pn:name="top_hw_testbench.cmd_log"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_BITGEN_DRC" xil_pn:name="top_hw_testbench.drc" xil_pn:subbranch="FPGAConfiguration"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_LSO" xil_pn:name="top_hw_testbench.lso"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NCD" xil_pn:name="top_hw_testbench.ncd" xil_pn:subbranch="Par"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NGC" xil_pn:name="top_hw_testbench.ngc"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NGD" xil_pn:name="top_hw_testbench.ngd"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NGR" xil_pn:name="top_hw_testbench.ngr"/>
    <file xil_pn:fileType="FILE_PAD_MISC" xil_pn:name="top_hw_testbench.pad"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_PAR_REPORT" xil_pn:name="top_hw_testbench.par" xil_pn:subbranch="Par"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_PCF" xil_pn:name="top_hw_testbench.pcf" xil_pn:subbranch="Map"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_XST_PROJECT" xil_pn:name="top_hw_testbench.prj"/>
    <file xil_pn:fileType="FILE_TRCE_MISC" xil_pn:name="top_hw_testbench.ptwx"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_XST_STX" xil_pn:name="top_hw_testbench.stx"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_XST_REPORT" xil_pn:name="top_hw_testbench.syr"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_TIMING_TXT_REPORT" xil_pn:name="top_hw_testbench.twr" xil_pn:subbranch="Par"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_TIMING_XML_REPORT" xil_pn:name="top_hw_testbench.twx" xil_pn:subbranch="Par"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_UNROUTES" xil_pn:name="top_hw_testbench.unroutes" xil_pn:subbranch="Par"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_BITGEN_REPORT" xil_pn:name="top_hw_testbench.ut" xil_pn:subbranch="FPGAConfiguration"/>
    <file xil_pn:fileType="FILE_XPI" xil_pn:name="top_hw_testbench.xpi"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_XST" xil_pn:name="top_hw_testbench.xst"/>
    <file xil_pn:fileType="FILE_HTML" xil_pn:name="top_hw_testbench_envsettings.html"/>
    <file xil_pn:fileType="FILE_NCD" xil_pn:name="top_hw_testbench_guide.ncd" xil_pn:origination="imported"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_MAP_REPORT" xil_pn:name="top_hw_testbench_map.map" xil_pn:subbranch="Map"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_MAP_REPORT" xil_pn:name="top_hw_testbench_map.mrp" xil_pn:subbranch="Map"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NCD" xil_pn:name="top_hw_testbench_map.ncd" xil_pn:subbranch="Map"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_NGM" xil_pn:name="top_hw_testbench_map.ngm" xil_pn:subbranch="Map"/>
    <file xil_pn:fileType="FILE_XRPT" xil_pn:name="top_hw_testbench_map.xrpt"/>
    <file xil_pn:fileType="FILE_XRPT" xil_pn:name="top_hw_testbench_ngdbuild.xrpt"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_PAD_EXCEL_REPORT" xil_pn:name="top_hw_testbench_pad.csv" xil_pn:subbranch="Par"/>
    <file xil_pn:branch="Implementation" xil_pn:fileType="FILE_PAD_TXT_REPORT" xil_pn:name="top_hw_testbench_pad.txt" xil_pn:subbranch="Par"/>
    <file xil_pn:fileType="FILE_XRPT" xil_pn:name="top_hw_testbench_par.xrpt"/>
    <file xil_pn:fileType="FILE_HTML" xil_pn:name="top_hw_testbench_summary.html"/>
    <file xil_pn:fileType="FILE_FITTER_REPORT" xil_pn:name="top_hw_testbench_summary.xml"/>
    <file xil_pn:fileType="FILE_WEBTALK" xil_pn:name="top_hw_testbench_usage.xml"/>
    <file xil_pn:fileType="FILE_XRPT" xil_pn:name="top_hw_testbench_xst.xrpt"/>
    <file xil_pn:fileType="FILE_HTML" xil_pn:name="usage_statistics_webtalk.html"/>
    <file xil_pn:fileType="FILE_LOG" xil_pn:name="webtalk.log"/>
    <file xil_pn:fileType="FILE_FITTER_REPORT" xil_pn:name="webtalk_pn.xml"/>
    <file xil_pn:fileType="FILE_LOG" xil_pn:name="xaw2verilog.log"/>
    <file xil_pn:branch="BehavioralSim" xil_pn:fileType="FILE_INI" xil_pn:name="xilinxsim.ini"/>
    <file xil_pn:fileType="FILE_DIRECTORY" xil_pn:name="xlnx_auto_0_xdb"/>
    <file xil_pn:fileType="FILE_DIRECTORY" xil_pn:name="xst"/>
  </files>

  <transforms xmlns="http://www.xilinx.com/XMLSchema">
    <transform xil_pn:end_ts="1337725474" xil_pn:name="TRAN_copyInitialToAbstractSimulation" xil_pn:start_ts="1337725474">
      <status xil_pn:value="SuccessfullyRun"/>
    </transform>
    <transform xil_pn:end_ts="1337725474" xil_pn:in_ck="-1571781140027199433" xil_pn:name="TRAN_copyAbstractToPostAbstractSimulation" xil_pn:start_ts="1337725474">
      <status xil_pn:value="SuccessfullyRun"/>
      <status xil_pn:value="ReadyToRun"/>
      <status xil_pn:value="OutOfDateForInputs"/>
      <status xil_pn:value="OutOfDateForOutputs"/>
      <status xil_pn:value="InputChanged"/>
      <status xil_pn:value="OutputChanged"/>
      <outfile xil_pn:name="lcd_controller.v"/>
      <outfile xil_pn:name="lcd_wishbone_slave.v"/>
      <outfile xil_pn:name="testLcd_controller.v"/>
      <outfile xil_pn:name="top_hw_testbench.v"/>
    </transform>
    <transform xil_pn:end_ts="1337725474" xil_pn:name="TRAN_xawsToSimhdl" xil_pn:prop_ck="2836371237087295533" xil_pn:start_ts="1337725474">
      <status xil_pn:value="SuccessfullyRun"/>
      <status xil_pn:value="ReadyToRun"/>
      <status xil_pn:value="OutOfDateForInputs"/>
      <status xil_pn:value="InputAdded"/>
      <status xil_pn:value="InputChanged"/>
    </transform>
    <transform xil_pn:end_ts="1337725474" xil_pn:name="TRAN_schematicsToHdlSim" xil_pn:prop_ck="-8989249124739507793" xil_pn:start_ts="1337725474">
      <status xil_pn:value="SuccessfullyRun"/>
      <status xil_pn:value="ReadyToRun"/>
    </transform>
    <transform xil_pn:end_ts="1337725474" xil_pn:in_ck="-5203437565094583721" xil_pn:name="TRAN_regenerateCoresSim" xil_pn:prop_ck="-1337690299192448305" xil_pn:start_ts="1337725474">
      <status xil_pn:value="SuccessfullyRun"/>
      <status xil_pn:value="ReadyToRun"/>
      <status xil_pn:value="OutOfDateForInputs"/>
      <status xil_pn:value="InputAdded"/>
      <status xil_pn:value="InputChanged"/>
    </transform>
    <transform xil_pn:end_ts="1337725474" xil_pn:in_ck="-1571781140027199433" xil_pn:name="TRAN_copyPostAbstractToPreSimulation" xil_pn:start_ts="1337725474">
      <status xil_pn:value="SuccessfullyRun"/>
      <status xil_pn:value="ReadyToRun"/>
      <status xil_pn:value="OutOfDateForInputs"/>
      <status xil_pn:value="OutOfDateForPredecessor"/>
      <status xil_pn:value="OutOfDateForOutputs"/>
      <status xil_pn:value="InputChanged"/>
      <status xil_pn:value="OutputChanged"/>
      <outfile xil_pn:name="lcd_controller.v"/>
      <outfile xil_pn:name="lcd_wishbone_slave.v"/>
      <outfile xil_pn:name="testLcd_controller.v"/>
      <outfile xil_pn:name="top_hw_testbench.v"/>
    </transform>
    <transform xil_pn:end_ts="1337725476" xil_pn:in_ck="-5536755233409236506" xil_pn:name="TRAN_ISimulateBehavioralModelRunFuse" xil_pn:prop_ck="6792445466142746427" xil_pn:start_ts="1337725474">
      <status xil_pn:value="SuccessfullyRun"/>
      <status xil_pn:value="ReadyToRun"/>
      <status xil_pn:value="OutOfDateForInputs"/>
      <status xil_pn:value="OutOfDateForProperties"/>
      <status xil_pn:value="OutOfDateForPredecessor"/>
      <status xil_pn:value="OutOfDateForOutputs"/>
      <status xil_pn:value="InputAdded"/>
      <status xil_pn:value="InputChanged"/>
      <status xil_pn:value="OutputChanged"/>
      <outfile xil_pn:name="fuse.log"/>
      <outfile xil_pn:name="isim"/>
      <outfile xil_pn:name="isim.log"/>
      <outfile xil_pn:name="testLcd_controller_beh.prj"/>
      <outfile xil_pn:name="testLcd_controller_isim_beh.exe"/>
      <outfile xil_pn:name="xilinxsim.ini"/>
    </transform>
    <transform xil_pn:end_ts="1337725476" xil_pn:in_ck="8162874102102850794" xil_pn:name="TRAN_ISimulateBehavioralModel" xil_pn:prop_ck="-3767764390934071859" xil_pn:start_ts="1337725476">
      <status xil_pn:value="SuccessfullyRun"/>
      <status xil_pn:value="ReadyToRun"/>
      <status xil_pn:value="OutOfDateForProperties"/>
      <status xil_pn:value="OutOfDateForPredecessor"/>
      <status xil_pn:value="OutOfDateForOutputs"/>
      <status xil_pn:value="OutputChanged"/>
      <outfile xil_pn:name="isim.cmd"/>
      <outfile xil_pn:name="isim.log"/>
      <outfile xil_pn:name="testLcd_controller_isim_beh.wdb"/>
    </transform>
    <transform xil_pn:end_ts="1337728079" xil_pn:name="TRAN_copyInitialToXSTAbstractSynthesis" xil_pn:start_ts="1337728079">
      <status xil_pn:value="SuccessfullyRun"/>
    </transform>
    <transform xil_pn:end_ts="1337728079" xil_pn:name="TRAN_schematicsToHdl" xil_pn:prop_ck="-3842871176298448887" xil_pn:start_ts="1337728079">
      <status xil_pn:value="SuccessfullyRun"/>
      <status xil_pn:value="ReadyToRun"/>
    </transform>
    <transform xil_pn:end_ts="1337894516" xil_pn:in_ck="-8399759803742926499" xil_pn:name="TRAN_regenerateCores" xil_pn:prop_ck="-1337690299192448305" xil_pn:start_ts="1337894516">
      <status xil_pn:value="SuccessfullyRun"/>
      <status xil_pn:value="ReadyToRun"/>
      <outfile xil_pn:name="ipcore_dir/coreICON.ngc"/>
      <outfile xil_pn:name="ipcore_dir/coreICON.v"/>
      <outfile xil_pn:name="ipcore_dir/coreILA.ngc"/>
      <outfile xil_pn:name="ipcore_dir/coreILA.v"/>
      <outfile xil_pn:name="ipcore_dir/coreVIO.ngc"/>
      <outfile xil_pn:name="ipcore_dir/coreVIO.v"/>
    </transform>
    <transform xil_pn:end_ts="1337728079" xil_pn:in_ck="6469636052167902378" xil_pn:name="TRAN_SubProjectAbstractToPreProxy" xil_pn:start_ts="1337728079">
      <status xil_pn:value="SuccessfullyRun"/>
      <status xil_pn:value="ReadyToRun"/>
    </transform>
    <transform xil_pn:end_ts="1337894518" xil_pn:in_ck="3965824093080695902" xil_pn:name="TRAN_xawsTohdl" xil_pn:prop_ck="1895521384966110919" xil_pn:start_ts="1337894516">
      <status xil_pn:value="SuccessfullyRun"/>
      <status xil_pn:value="ReadyToRun"/>
      <outfile xil_pn:name="corePLL.v"/>
    </transform>
    <transform xil_pn:end_ts="1337881672" xil_pn:in_ck="6469636052167902378" xil_pn:name="TRAN_SubProjectPreToStructuralProxy" xil_pn:prop_ck="-3893270297158069842" xil_pn:start_ts="1337881672">
      <status xil_pn:value="SuccessfullyRun"/>
      <status xil_pn:value="ReadyToRun"/>
    </transform>
    <transform xil_pn:end_ts="1337881672" xil_pn:name="TRAN_platgen" xil_pn:prop_ck="7913111547471294937" xil_pn:start_ts="1337881672">
      <status xil_pn:value="SuccessfullyRun"/>
      <status xil_pn:value="ReadyToRun"/>
    </transform>
    <transform xil_pn:end_ts="1337894527" xil_pn:in_ck="7984213297654366675" xil_pn:name="TRANEXT_xstsynthesize_spartan3e" xil_pn:prop_ck="-5172275256582554922" xil_pn:start_ts="1337894518">
      <status xil_pn:value="SuccessfullyRun"/>
      <status xil_pn:value="WarningsGenerated"/>
      <status xil_pn:value="ReadyToRun"/>
      <status xil_pn:value="OutOfDateForOutputs"/>
      <status xil_pn:value="OutputChanged"/>
      <outfile xil_pn:name=".lso"/>
      <outfile xil_pn:name="_xmsgs/xst.xmsgs"/>
      <outfile xil_pn:name="lcd_controller.ngr"/>
      <outfile xil_pn:name="top_hw_testbench.lso"/>
      <outfile xil_pn:name="top_hw_testbench.ngc"/>
      <outfile xil_pn:name="top_hw_testbench.ngr"/>
      <outfile xil_pn:name="top_hw_testbench.prj"/>
      <outfile xil_pn:name="top_hw_testbench.stx"/>
      <outfile xil_pn:name="top_hw_testbench.syr"/>
      <outfile xil_pn:name="top_hw_testbench.xst"/>
      <outfile xil_pn:name="top_hw_testbench_xst.xrpt"/>
      <outfile xil_pn:name="webtalk_pn.xml"/>
      <outfile xil_pn:name="xst"/>
    </transform>
    <transform xil_pn:end_ts="1337881681" xil_pn:in_ck="-296097539435913693" xil_pn:name="TRAN_compileBCD2" xil_pn:prop_ck="4191577380043050738" xil_pn:start_ts="1337881681">
      <status xil_pn:value="SuccessfullyRun"/>
      <status xil_pn:value="ReadyToRun"/>
    </transform>
    <transform xil_pn:end_ts="1337894531" xil_pn:in_ck="-5772489953451663748" xil_pn:name="TRANEXT_ngdbuild_FPGA" xil_pn:prop_ck="-7079812952058613934" xil_pn:start_ts="1337894527">
      <status xil_pn:value="SuccessfullyRun"/>
      <status xil_pn:value="WarningsGenerated"/>
      <status xil_pn:value="ReadyToRun"/>
      <outfile xil_pn:name="_ngo"/>
      <outfile xil_pn:name="_xmsgs/ngdbuild.xmsgs"/>
      <outfile xil_pn:name="top_hw_testbench.bld"/>
      <outfile xil_pn:name="top_hw_testbench.ngd"/>
      <outfile xil_pn:name="top_hw_testbench_ngdbuild.xrpt"/>
    </transform>
    <transform xil_pn:end_ts="1337894534" xil_pn:in_ck="-7080687810229386214" xil_pn:name="TRANEXT_map_spartan3" xil_pn:prop_ck="-5849673150125579957" xil_pn:start_ts="1337894531">
      <status xil_pn:value="SuccessfullyRun"/>
      <status xil_pn:value="WarningsGenerated"/>
      <status xil_pn:value="ReadyToRun"/>
      <status xil_pn:value="OutOfDateForOutputs"/>
      <status xil_pn:value="OutputChanged"/>
      <outfile xil_pn:name="_xmsgs/map.xmsgs"/>
      <outfile xil_pn:name="top_hw_testbench.pcf"/>
      <outfile xil_pn:name="top_hw_testbench_map.map"/>
      <outfile xil_pn:name="top_hw_testbench_map.mrp"/>
      <outfile xil_pn:name="top_hw_testbench_map.ncd"/>
      <outfile xil_pn:name="top_hw_testbench_map.ngm"/>
      <outfile xil_pn:name="top_hw_testbench_map.xrpt"/>
      <outfile xil_pn:name="top_hw_testbench_summary.xml"/>
      <outfile xil_pn:name="top_hw_testbench_usage.xml"/>
    </transform>
    <transform xil_pn:end_ts="1337894562" xil_pn:in_ck="6001200367856259123" xil_pn:name="TRANEXT_par_spartan3" xil_pn:prop_ck="-5563652517805085498" xil_pn:start_ts="1337894534">
      <status xil_pn:value="SuccessfullyRun"/>
      <status xil_pn:value="WarningsGenerated"/>
      <status xil_pn:value="ReadyToRun"/>
      <outfile xil_pn:name="_xmsgs/par.xmsgs"/>
      <outfile xil_pn:name="top_hw_testbench.ncd"/>
      <outfile xil_pn:name="top_hw_testbench.pad"/>
      <outfile xil_pn:name="top_hw_testbench.par"/>
      <outfile xil_pn:name="top_hw_testbench.ptwx"/>
      <outfile xil_pn:name="top_hw_testbench.unroutes"/>
      <outfile xil_pn:name="top_hw_testbench.xpi"/>
      <outfile xil_pn:name="top_hw_testbench_pad.csv"/>
      <outfile xil_pn:name="top_hw_testbench_pad.txt"/>
      <outfile xil_pn:name="top_hw_testbench_par.xrpt"/>
    </transform>
    <transform xil_pn:end_ts="1337894590" xil_pn:in_ck="5725138416503842291" xil_pn:name="TRANEXT_bitFile_spartan3e" xil_pn:prop_ck="3835315970760238454" xil_pn:start_ts="1337894562">
      <status xil_pn:value="SuccessfullyRun"/>
      <status xil_pn:value="WarningsGenerated"/>
      <status xil_pn:value="ReadyToRun"/>
      <outfile xil_pn:name="_xmsgs/bitgen.xmsgs"/>
      <outfile xil_pn:name="top_hw_testbench.bgn"/>
      <outfile xil_pn:name="top_hw_testbench.bin"/>
      <outfile xil_pn:name="top_hw_testbench.bit"/>
      <outfile xil_pn:name="top_hw_testbench.drc"/>
      <outfile xil_pn:name="top_hw_testbench.ut"/>
      <outfile xil_pn:name="usage_statistics_webtalk.html"/>
      <outfile xil_pn:name="webtalk.log"/>
      <outfile xil_pn:name="webtalk_pn.xml"/>
    </transform>
    <transform xil_pn:end_ts="1337894302" xil_pn:in_ck="5725138416503829437" xil_pn:name="TRAN_configureTargetDevice" xil_pn:prop_ck="5582947192412673156" xil_pn:start_ts="1337894301">
      <status xil_pn:value="SuccessfullyRun"/>
      <status xil_pn:value="ReadyToRun"/>
      <status xil_pn:value="OutOfDateForInputs"/>
      <status xil_pn:value="InputChanged"/>
    </transform>
    <transform xil_pn:end_ts="1337894797" xil_pn:in_ck="5725138416503829437" xil_pn:name="TRAN_analyzeDesignUsingChipscope" xil_pn:prop_ck="-7079812952058613934" xil_pn:start_ts="1337894797">
      <status xil_pn:value="SuccessfullyRun"/>
      <status xil_pn:value="ReadyToRun"/>
    </transform>
    <transform xil_pn:end_ts="1337894562" xil_pn:in_ck="-7080687810229386346" xil_pn:name="TRAN_postRouteTrce" xil_pn:prop_ck="445577401284416186" xil_pn:start_ts="1337894560">
      <status xil_pn:value="SuccessfullyRun"/>
      <status xil_pn:value="ReadyToRun"/>
      <outfile xil_pn:name="_xmsgs/trce.xmsgs"/>
      <outfile xil_pn:name="top_hw_testbench.twr"/>
      <outfile xil_pn:name="top_hw_testbench.twx"/>
    </transform>
  </transforms>

</generated_project>

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.