OpenCores
URL https://opencores.org/ocsvn/lxp32/lxp32/trunk

Subversion Repositories lxp32

[/] [lxp32/] [trunk/] [README.md] - Rev 8

Go to most recent revision | Compare with Previous | Blame | View Log

# lxp32-cpu

A lightweight, open source 32-bit CPU core optimized for FPGA implementation.

Project website: [https://lxp32.github.io/](https://lxp32.github.io/)

Go to most recent revision | Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.