OpenCores
URL https://opencores.org/ocsvn/mips32r1/mips32r1/trunk

Subversion Repositories mips32r1

[/] [mips32r1/] [trunk/] [Software/] [demos/] [XD3_I2C/] [src/] [drivers/] [del.c] - Rev 2

Compare with Previous | Blame | View Log

#include <stdio.h>
 
int foo(int a, int b)
{
	return (a+b);
}
 
int foo(int a)
{
	return a*2;
}
 
int main()
{
	return foo(2);
}
 
 

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.