OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [boards/] [avnet-eval-xc4vlx60/] [leon3mp.ucf] - Rev 2

Compare with Previous | Blame | View Log

NET "clk_100mhz"                LOC = "C13";  
NET "clk_100mhz"                TNM_NET = "clk_100mhz";
TIMESPEC "TS_clk_100mhz" = PERIOD "clk_100mhz" 10.00 ns HIGH 50 %;
NET "clk_50mhz"                 LOC = "B17";  
NET "clk_50mhz"                 TNM_NET = "clk_50mhz";
TIMESPEC "TS_clk_50mhz" = PERIOD "clk_50mhz" 20.00 ns HIGH 50 %;

# Enable those for ISE10
#NET "etx_clk" CLOCK_DEDICATED_ROUTE = FALSE;
#NET "erx_clk" CLOCK_DEDICATED_ROUTE = FALSE;
#NET "ddr_clk_fb" CLOCK_DEDICATED_ROUTE = FALSE;

#############################################################################
##                           RESET, UART                                   ##
#############################################################################
NET "RESETN"            LOC = "L3";
NET "RESETN"            TIG;

NET "serrx" LOC = "AC1" | IOSTANDARD=LVCMOS33;
NET "sertx" LOC = "AB1" | IOSTANDARD=LVCMOS33;
NET "rtsn" LOC = "Y1"   | IOSTANDARD=LVCMOS33;
NET "ctsn" LOC = "AA1"  | IOSTANDARD=LVCMOS33;

#############################################################################
##                       LEDS, Switches, Pushbutton                        ##
#############################################################################
#NET     "LED<0>"            LOC = "AC6";      
 
NET      "dsuact"            LOC = "AC6"        | IOSTANDARD=LVCMOS33;      
 
#NET     "LED<1>"            LOC = "AD6";      
NET      "errorn"            LOC = "AD6"        | IOSTANDARD=LVCMOS33;      
NET      "LED<2>"            LOC = "AF6";      
NET      "LED<3>"            LOC = "AE6";      
NET      "LED<4>"            LOC = "AD5";      
NET      "LED<5>"            LOC = "AE4";      
#NET     "LED<6>"            LOC = "AF4";      
NET      "led_rx"            LOC = "AF4" | IOSTANDARD=LVCMOS33;      
#NET     "LED<7>"            LOC = "AF3";      
NET      "led_tx"            LOC = "AF3" | IOSTANDARD=LVCMOS33;      

NET  "SWITCH<7>"            LOC = "H20" ;
NET  "SWITCH<6>"            LOC = "L8"  ; 
NET  "SWITCH<5>"            LOC = "M8"  ; 
NET  "SWITCH<4>"            LOC = "V4"  ;
NET  "SWITCH<3>"            LOC = "U4"  ;
NET  "SWITCH<2>"            LOC = "U3"  ;
NET  "SWITCH<1>"            LOC = "T6"  ;
#NET  "SWITCH<0>"            LOC = "R7" ;
NET  "dsuen"            LOC = "R7"      | IOSTANDARD=LVCMOS25 ;

#NET  "PB_SWITCH<0>"         LOC = "T1";  
NET  "dsubre"         LOC = "T1"        | IOSTANDARD=LVCMOS25;  

#########################################################
#               DDR SDRAM                               #
#########################################################
NET      "DDR_CKE0"       IOSTANDARD = SSTL2_I;   
NET      "DDR_CLK0"       IOSTANDARD = SSTL2_I;   
NET      "DDR_CLK0B"      IOSTANDARD = SSTL2_I;   
NET      "DDR_CLK_FB"     IOSTANDARD = LVCMOS25;  
#NET     "DDR_CLK_FB"     TNM_NET = "DDR_CLK_FB";
#TIMESPEC "TS_DDR_CLK_FB" = PERIOD "DDR_CLK_FB" 9.75 ns HIGH 50 %;

NET  "DDR_CLK_FB_OUT"  IOSTANDARD = SSTL2_II;  
NET      "DDR_RASB"        IOSTANDARD = SSTL2_I;   
NET      "DDR_CASB"        IOSTANDARD = SSTL2_I;   
NET      "DDR_WEB"         IOSTANDARD = SSTL2_I;   
NET      "DDR_CS0B"        IOSTANDARD = SSTL2_I;   

NET      "DDR_AD(0)"         IOSTANDARD = SSTL2_I;   
NET      "DDR_AD(1)"         IOSTANDARD = SSTL2_I;   
NET      "DDR_AD(2)"         IOSTANDARD = SSTL2_I;   
NET      "DDR_AD(3)"         IOSTANDARD = SSTL2_I;   
NET      "DDR_AD(4)"         IOSTANDARD = SSTL2_I;   
NET      "DDR_AD(5)"         IOSTANDARD = SSTL2_I;   
NET      "DDR_AD(6)"         IOSTANDARD = SSTL2_I;   
NET      "DDR_AD(7)"         IOSTANDARD = SSTL2_I;   
NET      "DDR_AD(8)"         IOSTANDARD = SSTL2_I;   
NET      "DDR_AD(9)"         IOSTANDARD = SSTL2_I;   
NET      "DDR_AD(10)"        IOSTANDARD = SSTL2_I;   
NET      "DDR_AD(11)"        IOSTANDARD = SSTL2_I;   
NET      "DDR_AD(12)"        IOSTANDARD = SSTL2_I;   
#NET     "DDR_AD(13)"        IOSTANDARD = SSTL2_II;   
NET      "DDR_BA(0)"         IOSTANDARD = SSTL2_I;   
NET      "DDR_BA(1)"         IOSTANDARD = SSTL2_I;   

NET      "DDR_DQ(0)"         IOSTANDARD = SSTL2_II;   
NET      "DDR_DQ(1)"         IOSTANDARD = SSTL2_II;   
NET      "DDR_DQ(2)"         IOSTANDARD = SSTL2_II;   
NET      "DDR_DQ(3)"         IOSTANDARD = SSTL2_II;   
NET      "DDR_DQ(4)"         IOSTANDARD = SSTL2_II;   
NET      "DDR_DQ(5)"         IOSTANDARD = SSTL2_II;   
NET      "DDR_DQ(6)"         IOSTANDARD = SSTL2_II;   
NET      "DDR_DQ(7)"         IOSTANDARD = SSTL2_II;   
NET      "DDR_DQ(8)"         IOSTANDARD = SSTL2_II;   
NET      "DDR_DQ(9)"         IOSTANDARD = SSTL2_II;   
NET      "DDR_DQ(10)"        IOSTANDARD = SSTL2_II;   
NET      "DDR_DQ(11)"        IOSTANDARD = SSTL2_II;   
NET      "DDR_DQ(12)"        IOSTANDARD = SSTL2_II;   
NET      "DDR_DQ(13)"        IOSTANDARD = SSTL2_II;   
NET      "DDR_DQ(14)"        IOSTANDARD = SSTL2_II;   
NET      "DDR_DQ(15)"        IOSTANDARD = SSTL2_II;   
      
NET      "DDR_DM(0)"         IOSTANDARD = SSTL2_I;   
NET      "DDR_DM(1)"         IOSTANDARD = SSTL2_I;   
       
NET      "DDR_DQS(0)"        IOSTANDARD = SSTL2_I;   
NET      "DDR_DQS(1)"        IOSTANDARD = SSTL2_I;   

NET      "DDR_CKE0"    LOC = "N5";       
NET      "DDR_CLK0"       LOC = "T4";            
NET      "DDR_CLK0B"      LOC = "T3";       
NET   "ddr_clk_fb"    LOC ="AB10";    
NET   "DDR_CLK_FB_OUT"   LOC ="T7";         
NET      "DDR_RASB"       LOC = "N2";       
NET      "DDR_CASB"       LOC = "P2";       
NET      "DDR_WEB"        LOC = "N4";       
NET      "DDR_CS0B"       LOC = "N3";        

NET      "DDR_AD(0)"         LOC = "L1";   #"DDR_A<0>"      
NET      "DDR_AD(1)"         LOC = "K1";   #"DDR_A<1>"      
NET      "DDR_AD(2)"         LOC = "J4";   #"DDR_A<2>"      
NET      "DDR_AD(3)"          LOC = "J2";   #"DDR_A<3>"      
NET      "DDR_AD(4)"          LOC = "J5";   #"DDR_A<4>"      
NET      "DDR_AD(5)"          LOC = "J6";   #"DDR_A<5>"      
NET      "DDR_AD(6)"          LOC = "J7";   #"DDR_A<6>"      
NET      "DDR_AD(7)"          LOC = "M7";   #"DDR_A<7>"      
NET      "DDR_AD(8)"          LOC = "M6";   #"DDR_A<8>"      
NET      "DDR_AD(9)"          LOC = "M5";   #"DDR_A<9>"      
NET      "DDR_AD(10)"          LOC = "M1";   #"DDR_A<10>"     
NET      "DDR_AD(11)"          LOC = "N7";   #"DDR_A<11>"     
NET      "DDR_AD(12)"          LOC = "R4";   #"DDR_A<12>"     
#NET     "DDR_A<13>"        LOC = "P22";       
NET      "DDR_BA(0)"         LOC = "M4";   #"DDR_BA<0>"    
NET      "DDR_BA(1)"         LOC = "M2";   #"DDR_BA<1>"    

NET      "DDR_DQ(0)"        LOC = "V1";   #"DDR_DQ<16>"    #
NET      "DDR_DQ(1)"        LOC = "V2";   #"DDR_DQ<17>"    #
NET      "DDR_DQ(2)"        LOC = "R2";   #"DDR_DQ<18>"    #
NET      "DDR_DQ(3)"        LOC = "P5";   #"DDR_DQ<19>"    #
NET      "DDR_DQ(4)"        LOC = "R1";   #"DDR_DQ<20>"    #
NET      "DDR_DQ(5)"        LOC = "K2";   #"DDR_DQ<21>"    #
NET      "DDR_DQ(6)"         LOC = "K3";   #"DDR_DQ<22>"    #
NET      "DDR_DQ(7)"         LOC = "K4";   #"DDR_DQ<23>"    #
NET      "DDR_DQ(8)"         LOC = "K6";   #"DDR_DQ<24>"    #
NET      "DDR_DQ(9)"         LOC = "K5";   #"DDR_DQ<25>"    #
NET      "DDR_DQ(10)"         LOC = "L6";   #"DDR_DQ<26>"    #
NET      "DDR_DQ(11)"         LOC = "L7";   #"DDR_DQ<27>"    #
NET      "DDR_DQ(12)"         LOC = "N8";   #"DDR_DQ<28>"    #
NET      "DDR_DQ(13)"         LOC = "P6";   #"DDR_DQ<29>"    #
NET      "DDR_DQ(14)"         LOC = "P7";   #"DDR_DQ<30>"    #
NET      "DDR_DQ(15)"         LOC = "P8";   #"DDR_DQ<31>"    #
                                                         
NET      "DDR_DM(0)"         LOC = "P4";   #"DDR_DM<0>"     #
NET      "DDR_DM(1)"         LOC = "K7";   #"DDR_DM<1>"   #
                                                         
NET      "DDR_DQS(0)"        LOC = "L4";   #"DDR_DQS<0>"    #
NET      "DDR_DQS(1)"        LOC = "U1";   #"DDR_DQS<1>"    #

#########################################################
#               Flash Memory                            #
#########################################################

Net address(21) LOC=AA18 | IOSTANDARD=LVCMOS33;
Net address(20) LOC=Y18  | IOSTANDARD=LVCMOS33;
Net address(19) LOC=AB23 | IOSTANDARD=LVCMOS33;
Net address(18) LOC=AF19 | IOSTANDARD=LVCMOS33;
Net address(17) LOC=AA23 | IOSTANDARD=LVCMOS33;
Net address(16) LOC=AF20 | IOSTANDARD=LVCMOS33;
Net address(15) LOC=AA24 | IOSTANDARD=LVCMOS33;
Net address(14) LOC=Y19  | IOSTANDARD=LVCMOS33;
Net address(13) LOC=Y23  | IOSTANDARD=LVCMOS33;
Net address(12) LOC=W19  | IOSTANDARD=LVCMOS33;
Net address(11) LOC=Y24  | IOSTANDARD=LVCMOS33;
Net address(10) LOC=W20  | IOSTANDARD=LVCMOS33;
Net address(9) LOC=Y25   | IOSTANDARD=LVCMOS33;
Net address(8) LOC=V20   | IOSTANDARD=LVCMOS33;
Net address(7) LOC=AA26  | IOSTANDARD=LVCMOS33;
Net address(6) LOC=AD22  | IOSTANDARD=LVCMOS33;
Net address(5) LOC=Y26   | IOSTANDARD=LVCMOS33;
Net address(4) LOC=AC22  | IOSTANDARD=LVCMOS33;
Net address(3) LOC=W25   | IOSTANDARD=LVCMOS33;
Net address(2) LOC=W23   | IOSTANDARD=LVCMOS33;
Net address(1) LOC=W26   | IOSTANDARD=LVCMOS33;
Net address(0) LOC=W24   | IOSTANDARD=LVCMOS33;

Net data(0) LOC=W21      | IOSTANDARD=LVCMOS33;
Net data(1) LOC=W22      | IOSTANDARD=LVCMOS33;
Net data(2) LOC=Y22      | IOSTANDARD=LVCMOS33;
Net data(3) LOC=AE23 | IOSTANDARD=LVCMOS33;
Net data(4) LOC=AC23 | IOSTANDARD=LVCMOS33;
Net data(5) LOC=AD25 | IOSTANDARD=LVCMOS33;
Net data(6) LOC=AD26     | IOSTANDARD=LVCMOS33;
Net data(7) LOC=AB24     | IOSTANDARD=LVCMOS33;
Net data(8) LOC=V21      | IOSTANDARD=LVCMOS33;
Net data(9) LOC=V22      | IOSTANDARD=LVCMOS33;
Net data(10) LOC=AB22    | IOSTANDARD=LVCMOS33;
Net data(11) LOC=AF23    | IOSTANDARD=LVCMOS33;
Net data(12) LOC=AD23    | IOSTANDARD=LVCMOS33;
Net data(13) LOC=AC24    | IOSTANDARD=LVCMOS33;
Net data(14) LOC=AC25    | IOSTANDARD=LVCMOS33;
Net data(15) LOC=AC26    | IOSTANDARD=LVCMOS33;

Net writen LOC=AB26 | IOSTANDARD=LVCMOS33;
Net oen LOC=AB25 | IOSTANDARD=LVCMOS33;
Net romsn LOC=Y20       | IOSTANDARD=LVCMOS33;
#Net Flash_RP_N LOC=Y21 | IOSTANDARD=LVCMOS33;
Net romrstn LOC=Y21 | IOSTANDARD=LVCMOS33;

#########################################################
#               OLED/LCD Display                        #
#########################################################
#NET DISP_RS      LOC=AB4;
#NET LCD_EN       LOC=AC5;

NET disp_dcn      LOC=AB4;  #DISP_RS
NET disp_csn      LOC=AC5;  #DISP_CSB
#NET DISP_RESET_N           LOC=AD3;  #DISP_RSTB
NET resoutn       LOC=AD3 | IOSTANDARD=LVCMOS33;  #DISP_RSTB
NET disp_rdn      LOC=AB2;  #DISP_ECLK
NET disp_wrn      LOC=AB3;  #DISP_RD_WRB
NET disp_d(0)     LOC=AB5;
NET disp_d(1)     LOC=AB6;
NET disp_d(2)     LOC=Y6;
NET disp_d(3)     LOC=Y2;
NET disp_d(4)     LOC=W6;
NET disp_d(5)     LOC=W5;
NET disp_d(6)     LOC=W7;
NET disp_d(7)     LOC=V7;

#########################################################
#               Clock Multiplier                        #
#########################################################

Net PLL_P2 LOC=B13;
Net PLL_P1 LOC=B12;
Net PLL_P0 LOC=A16;
Net PLL_MULT1 LOC=A15;
Net PLL_MULT0 LOC=A10;
Net PLL_DLYCTRL LOC=A17;
Net PLL_LEADLAG LOC=C12;
Net PLL_STOP_L LOC=B10;
Net PLL_REF_CLK LOC=B17;
Net PLL_CLK_P LOC=C15; 
#Net PLL_CLK_N LOC=C14; 
#INST PLL_CLK_P IOSTANDARD=LVDS_25;

#****************************************************************************
#*                           P1 Pins                                       **
#****************************************************************************

NET "AV_A0"                  LOC = "H8";      
NET "AV_A3"              LOC = "H7";     
NET "AV_A4"              LOC = "H1";     
NET "AV_A7"              LOC = "H2";     
NET "AV_A8"              LOC = "G1";     
NET "AV_A11"             LOC = "G2";     
NET "AV_A12"             LOC = "G3";     
NET "AV_A15"             LOC = "G6";     
NET "AV_A16"             LOC = "G7";     
NET "AV_A19"             LOC = "E3";     
NET "AV_A20"             LOC = "C1";     
NET "AV_A23"             LOC = "D1";     
NET "AV_A24"             LOC = "D2";     
NET "AV_A27"             LOC = "B3";     
NET "AV_A28"             LOC = "E4";     
NET "AV_A31"             LOC = "A4";     
NET "AV_D0"              LOC = "B4";     
NET "AV_D3"              LOC = "A5";     
NET "AV_D4"              LOC = "C5";     
NET "AV_D7"              LOC = "A6";     
NET "AV_D8"              LOC = "B6";     
NET "AV_D11"             LOC = "C6";     
NET "AV_D12"             LOC = "D7";     
NET "AV_D15"             LOC = "E7";     
NET "AV_D16"             LOC = "C8";     
NET "AV_D19"             LOC = "D8";     
NET "AV_D20"             LOC = "A9";     
NET "AV_D23"             LOC = "B9";     
NET "AV_D24"             LOC = "D9";     
NET "AV_D27"             LOC = "D10";    
NET "AV_D28"             LOC = "F10";    
NET "AV_D31"             LOC = "C11";    
    
NET "AV_A1"              LOC = "H6";     
NET "AV_A2"              LOC = "H5";     
NET "AV_A5"              LOC = "H3";     
NET "AV_A6"              LOC = "H4";     
NET "AV_A9"              LOC = "G4";     
NET "AV_A10"             LOC = "G5";     
NET "AV_A13"             LOC = "F1";     
NET "AV_A14"             LOC = "F3";     
NET "AV_A17"             LOC = "F4";     
NET "AV_A18"             LOC = "E1";     
NET "AV_A21"             LOC = "E2";     
NET "AV_A22"             LOC = "C2";     
NET "AV_A25"             LOC = "D3";     
NET "AV_A26"             LOC = "A3";     
NET "AV_A29"             LOC = "C4";     
NET "AV_A30"             LOC = "D4";     
NET "AV_D1"              LOC = "D5";     
NET "AV_D2"              LOC = "E5";     
NET "AV_D5"              LOC = "D6";     
NET "AV_D6"              LOC = "E6";     
NET "AV_D9"              LOC = "A7";     
NET "AV_D10"             LOC = "B7";     
NET "AV_D13"             LOC = "C7";     
NET "AV_D14"             LOC = "A8";     
NET "AV_D17"             LOC = "F7";     
NET "AV_D18"             LOC = "F8";     
NET "AV_D21"             LOC = "E9";     
NET "AV_D22"             LOC = "F9";     
NET "AV_D25"             LOC = "C10";    
NET "AV_D26"             LOC = "E10";    
NET "AV_D29"             LOC = "A11";    
NET "AV_D30"             LOC = "D11";    
    
NET "AV_CTL0"           LOC = "F11";       
NET "AV_CTL3"           LOC = "A12";       
NET "AV_CTL4"           LOC = "D12";       
NET "AV_CTL7"           LOC = "G10";       
NET "AV_CTL8"           LOC = "F13";       
NET "AV_CTL11"          LOC = "B14";       
NET "AV_CTL12"          LOC = "E14";     
NET "AV_CTL15"          LOC = "B15";     
NET "AV_CTL16"          LOC = "D15";     
NET "AV_CTL19"          LOC = "D16";     
NET "AV_CTL20"          LOC = "C17";     
NET "AV_CTL23"          LOC = "A18";     
    
NET "AV_CTL1"            LOC = "G8";       
NET "AV_CTL2"            LOC = "F12";      
NET "AV_CTL5"            LOC = "G9";       
NET "AV_CTL6"            LOC = "D13";      
NET "AV_CTL9"            LOC = "E13";      
NET "AV_CTL10"           LOC = "D14";      
NET "AV_CTL13"           LOC = "F14";      
NET "AV_CTL14"           LOC = "F15";      
NET "AV_CTL17"           LOC = "C16";      
NET "AV_CTL18"           LOC = "F16";      
NET "AV_CTL21"           LOC = "D17";      
NET "AV_CTL22"           LOC = "B18";      


#****************************************************************************
#*                           P2 Pins                                       **
#****************************************************************************


NET "GEN_IO0"                  LOC = "A24";     
NET "LVDS_N1"                  LOC = "E22";     
NET "LVDS_P1"                  LOC = "E23";     
NET "LVDS_N2"          LOC = "G21";      
NET "LVDS_P2"          LOC = "G22";      
NET "LVDS_N4"                  LOC = "G23";     
NET "LVDS_P4"                  LOC = "G24";     
NET "LVDS_N5"                  LOC = "H23";     
NET "LVDS_P5"                  LOC = "H24";     
NET "LVDS_N7"                  LOC = "J22";     
NET "LVDS_P7"                  LOC = "J23";     
NET "GEN_IO5"          LOC = "D26";      
NET "GEN_IO6"                  LOC = "A23";     
NET "LVDS_N10"                 LOC = "K23";     
NET "LVDS_P10"                 LOC = "K24";     
NET "LVDS_N12"                 LOC = "L23";     
NET "LVDS_P12"                 LOC = "L24";     
NET "GEN_IO7"                  LOC = "D25";      
NET "GEN_IO8"                  LOC = "A22";     
NET "GEN_IO11"                 LOC = "E21";     
NET "GEN_IO12"                 LOC = "D21";     
NET "LVDS_N15"                 LOC = "M24";     
NET "LVDS_P15"                 LOC = "M25";     
NET "GEN_IO15"                 LOC = "A21";     
NET "GEN_IO16"                 LOC = "G20";     
NET "LVDS_N16"                 LOC = "N22";     
NET "LVDS_P16"                 LOC = "N23";     
NET "LVDS_N18"                 LOC = "P22";     
NET "LVDS_P18"                 LOC = "P23";     
NET "LVDS_N20"                 LOC = "R25";     
NET "LVDS_P20"                 LOC = "R26";     
NET "GEN_IO19"                 LOC = "F20";     
    
NET "LVDS_N0"          LOC = "C23";      
NET "LVDS_P0"          LOC = "D23";      
NET "GEN_IO1"          LOC = "B24";      
NET "GEN_IO2"          LOC = "B23";      
NET "LVDS_N3"                  LOC = "C25";     
NET "LVDS_P3"                  LOC = "C26";     
NET "GEN_IO3"                  LOC = "D22";     
NET "GEN_IO4"                  LOC = "C22";     
NET "LVDS_N6"          LOC = "C24";      
NET "LVDS_P6"          LOC = "D24";      
NET "LVDS_N8"                  LOC = "E24";     
NET "LVDS_P8"                  LOC = "E25";     
NET "LVDS_N9"                  LOC = "E26";     
NET "LVDS_P9"                  LOC = "F26";     
NET "LVDS_N11"                 LOC = "G25";     
NET "LVDS_P11"                 LOC = "G26";     
NET "LVDS_N13"                 LOC = "H25";     
NET "LVDS_P13"                 LOC = "H26";     
NET "GEN_IO9"                  LOC = "C21";     
NET "GEN_IO10"                 LOC = "B21";     
NET "LVDS_N14"                 LOC = "J25";     
NET "LVDS_P14"                 LOC = "J26";     
NET "GEN_IO13"                 LOC = "E20";     
NET "GEN_IO14"                 LOC = "D20";     
NET "GEN_IO17"                 LOC = "B20";     
NET "GEN_IO18"                 LOC = "C20";     
NET "LVDS_N17"         LOC = "K21";      
NET "LVDS_P17"         LOC = "K22";      
NET "LVDS_N19"                 LOC = "M26";     
NET "LVDS_P19"                 LOC = "L26";     
NET "LVDS_N21"                 LOC = "N24";     
NET "LVDS_P21"                 LOC = "N25";     
     
NET "GEN_IO20"                 LOC = "A20";     
NET "LVDS_N23"         LOC = "T20";      
NET "LVDS_P23"         LOC = "T21";      
NET "LVDS_N25"                 LOC = "V25";  
NET "LVDS_P25"                 LOC = "V26";  
NET "LVDS_N27"                 LOC = "U21";  
NET "LVDS_P27"                 LOC = "U22";  
NET "GEN_IO21"                 LOC = "G19";  
NET "GEN_IO22"                 LOC = "F19";  
NET "GEN_IO23"                 LOC = "G18";  
NET "GEN_IO24"                 LOC = "F18";  
NET "GEN_IO27"                 LOC = "E18";  
NET "GEN_IO28"                 LOC = "G17";  
NET "GEN_IO31"                 LOC = "E17";  
NET "GEN_IO32"                 LOC = "F17";  
    
NET "LVDS_N22"                 LOC = "P24";  
NET "LVDS_P22"                 LOC = "P25";  
NET "LVDS_N24"                 LOC = "R23";  
NET "LVDS_P24"                 LOC = "R24";  
NET "LVDS_N26"                 LOC = "U26";  
NET "LVDS_P26"                 LOC = "T26";  
NET "LVDS_N28"                 LOC = "U24";  
NET "LVDS_P28"                 LOC = "U25";  
NET "LVDS_N29"                 LOC = "V23";     
NET "LVDS_P29"                 LOC = "U23";     
NET "GEN_IO25"                 LOC = "A19";     
NET "GEN_IO26"                 LOC = "C19";     
NET "GEN_IO29"                 LOC = "D19";  
NET "GEN_IO30"              LOC = "D18";  

#########################################################
#                    USB                                #
#########################################################
NET USB_CTL0           LOC = Y10  ;
NET USB_CTL1           LOC = AE24 ;
NET USB_CTL2           LOC = AA10 ;
NET USB_RDY0           LOC = Y9   ;
NET USB_RDY1           LOC = AA9  ;
NET USB_FD0            LOC = AD13 ;
NET USB_FD1            LOC = AC13 ;
NET USB_FD2            LOC = AC15 ;
NET USB_FD3            LOC = AC16 ;
NET USB_FD4            LOC = AA11 ;
NET USB_FD5            LOC = AA12 ;
NET USB_FD6            LOC = AD14 ;
NET USB_FD7               LOC = AC14 ;
NET USB_FD8               LOC = AA7  ;
NET USB_FD9               LOC = AC7  ;
NET USB_FD10              LOC = AB7  ;
NET USB_FD11              LOC = AD7  ;
NET USB_FD12              LOC = AE7  ;
NET USB_FD13              LOC = AF7  ;
NET USB_FD14              LOC = Y8   ;
NET USB_FD15              LOC = AA8  ;
NET USB_IFCLK             LOC = AD11 ;
NET USB_INT0_N            LOC = AD10 ;
NET USB_INT1_N            LOC = AC10 ;
NET USB_SLOE              LOC = AF9  ;
NET USB_WU2               LOC = AE9  ;
NET USB_FA0               LOC = AC9  ;
NET USB_FA1               LOC = AB9  ;
NET USB_PEND              LOC = AF8  ;
NET USB_SLCS_N            LOC = AD8  ;
NET RST_N                 LOC = AF12 ;

NET emdc        LOC = V5 | IOSTANDARD=LVCMOS33;
NET erx_crs     LOC = AE3 | IOSTANDARD=LVCMOS33;
NET emdio       LOC = V6 | IOSTANDARD=LVCMOS33;
NET erx_col     LOC = AD4 | IOSTANDARD=LVCMOS33;
NET etxd(0)     LOC = AC3 | IOSTANDARD=LVCMOS33;
NET erxd(0)     LOC =  W4 | IOSTANDARD=LVCMOS33;
NET etxd(1)     LOC = AC4 | IOSTANDARD=LVCMOS33;
NET erxd(1)     LOC = W3 | IOSTANDARD=LVCMOS33;
NET etxd(2)     LOC = AD1 | IOSTANDARD=LVCMOS33;
NET erxd(2)     LOC = W1 | IOSTANDARD=LVCMOS33;
NET etxd(3)     LOC = AD2 | IOSTANDARD=LVCMOS33;
NET erxd(3)     LOC = W2 | IOSTANDARD=LVCMOS33;
NET etx_en      LOC = AC2 | IOSTANDARD=LVCMOS33;
NET erx_dv      LOC = Y3 | IOSTANDARD=LVCMOS33;
NET etx_er      LOC = AA4 | IOSTANDARD=LVCMOS33;
NET erx_er      LOC = AA3 | IOSTANDARD=LVCMOS33;
NET etx_clk     LOC = Y4 | IOSTANDARD=LVCMOS33;
NET erx_clk     LOC = Y5 | IOSTANDARD=LVCMOS33;
NET erstn       LOC = AF24 | IOSTANDARD=LVCMOS33;

NET erx_clk PERIOD = 40.000 ;
OFFSET = IN : 10.000 : BEFORE erx_clk ;
NET etx_clk PERIOD = 40.000 ;
OFFSET = OUT : 20.000 : AFTER etx_clk ;
OFFSET = IN : 8.000 : BEFORE etx_clk ;

NET "ddr_clk_fb" TNM_NET = "ddr_clk_fb";
TIMESPEC "TS_ddr_clk_fb" = PERIOD "ddr_clk_fb" 8.00 ns HIGH 50 %;

#TIMESPEC "TS_sepclk1" = FROM "clkgen0_clkin" TO "ddrsp0_ddr_phy0_clk" TIG;
#TIMESPEC "TS_sepclk2" = FROM "ddrsp0_ddr_phy0_clk" TO "clkgen0_clkin" TIG;

NET "clkm"               TNM_NET = "clkm";
NET "clkml"              TNM_NET = "clkml";
TIMESPEC "TS_clkm_clkml" = FROM "clkm" TO "clkml" TIG;
TIMESPEC "TS_clkml_clkm" = FROM "clkml" TO "clkm" TIG;
NET "lock"  TIG;
NET "ddrsp0.ddrc/ddr_phy0/ddr_phy0/xc4v.ddr_phy0/rclk90b" TNM_NET = "rclk90b"; 
TIMEGRP "rclk270b_rise" = FALLING "rclk90b";
TIMEGRP "clkml_rise" = RISING "clkml";
TIMESPEC "TS_rclk270b_clkml_rise" = FROM "rclk270b_rise" TO "clkml_rise" 5.500;

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.