OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [designs/] [leon3-gr-xc3s-1500/] [modelsim/] [gaisler/] [reg_array/] [_primary.vhd] - Rev 2

Compare with Previous | Blame | View Log

library verilog;
use verilog.vl_types.all;
entity reg_array is
    port(
        data            : in     vl_logic_vector(31 downto 0);
        wraddress       : in     vl_logic_vector(4 downto 0);
        rdaddress_a     : in     vl_logic_vector(4 downto 0);
        rdaddress_b     : in     vl_logic_vector(4 downto 0);
        wren            : in     vl_logic;
        clock           : in     vl_logic;
        qa              : out    vl_logic_vector(31 downto 0);
        qb              : out    vl_logic_vector(31 downto 0);
        rd_clk_cls      : in     vl_logic
    );
end reg_array;
 

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.