OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [designs/] [leon3-gr-xc3s-1500/] [modelsim/] [opencores/] [ac97_int/] [_primary.vhd] - Rev 2

Compare with Previous | Blame | View Log

library verilog;
use verilog.vl_types.all;
entity ac97_int is
    port(
        clk             : in     vl_logic;
        rst             : in     vl_logic;
        int_set         : out    vl_logic_vector(2 downto 0);
        cfg             : in     vl_logic_vector(7 downto 0);
        status          : in     vl_logic_vector(1 downto 0);
        full_empty      : in     vl_logic;
        full            : in     vl_logic;
        empty           : in     vl_logic;
        re              : in     vl_logic;
        we              : in     vl_logic
    );
end ac97_int;
 

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.