OpenCores
URL https://opencores.org/ocsvn/mips_enhanced/mips_enhanced/trunk

Subversion Repositories mips_enhanced

[/] [mips_enhanced/] [trunk/] [grlib-gpl-1.0.19-b3188/] [lib/] [gaisler/] [can/] [can_oc.in.h] - Rev 2

Compare with Previous | Blame | View Log

#ifndef CONFIG_CAN_ENABLE
#define CONFIG_CAN_ENABLE 0
#endif
 
#ifndef CONFIG_CANIO
#define CONFIG_CANIO 0
#endif
 
#ifndef CONFIG_CANIRQ
#define CONFIG_CANIRQ 0
#endif
 
#ifndef CONFIG_CANLOOP
#define CONFIG_CANLOOP 0
#endif
 
#ifndef CONFIG_CAN_SYNCRST
#define CONFIG_CAN_SYNCRST 0
#endif
 
 
#ifndef CONFIG_CAN_FT
#define CONFIG_CAN_FT 0
#endif
 

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.