OpenCores
URL https://opencores.org/ocsvn/neo430/neo430/trunk

Subversion Repositories neo430

[/] [neo430/] [trunk/] [neo430/] [rtl/] [core/] [neo430_bootloader_image.vhd] - Rev 198

Compare with Previous | Blame | View Log

-- The NEO430 Processor Project, by Stephan Nolting
-- Auto-generated memory init file (for BOOTLOADER)
 
library ieee;
use ieee.std_logic_1164.all;
 
package neo430_bootloader_image is
 
  type bootloader_init_image_t is array (0 to 65535) of std_ulogic_vector(15 downto 0);
  constant bootloader_init_image : bootloader_init_image_t := (
    000000 => x"4031",
    000001 => x"bffe",
    000002 => x"5211",
    000003 => x"fffa",
    000004 => x"3de2",
    000005 => x"120f",
    000006 => x"120e",
    000007 => x"120d",
    000008 => x"120c",
    000009 => x"120b",
    000010 => x"5392",
    000011 => x"c004",
    000012 => x"435c",
    000013 => x"12b0",
    000014 => x"f6ac",
    000015 => x"413b",
    000016 => x"413c",
    000017 => x"413d",
    000018 => x"413e",
    000019 => x"413f",
    000020 => x"1300",
    000021 => x"403c",
    000022 => x"f6f8",
    000023 => x"12b0",
    000024 => x"f5d8",
    000025 => x"4130",
    000026 => x"4c4a",
    000027 => x"403c",
    000028 => x"f72e",
    000029 => x"12b0",
    000030 => x"f5d8",
    000031 => x"4a4c",
    000032 => x"12b0",
    000033 => x"f62a",
    000034 => x"4302",
    000035 => x"435c",
    000036 => x"12b0",
    000037 => x"f6a6",
    000038 => x"4030",
    000039 => x"f04c",
    000040 => x"120a",
    000041 => x"d392",
    000042 => x"ffa4",
    000043 => x"403a",
    000044 => x"f690",
    000045 => x"407c",
    000046 => x"0005",
    000047 => x"128a",
    000048 => x"434c",
    000049 => x"128a",
    000050 => x"4c0a",
    000051 => x"12b0",
    000052 => x"f688",
    000053 => x"4a4c",
    000054 => x"413a",
    000055 => x"4130",
    000056 => x"120a",
    000057 => x"d392",
    000058 => x"ffa4",
    000059 => x"403a",
    000060 => x"f690",
    000061 => x"407c",
    000062 => x"009e",
    000063 => x"128a",
    000064 => x"434c",
    000065 => x"128a",
    000066 => x"4c0a",
    000067 => x"12b0",
    000068 => x"f688",
    000069 => x"4a4c",
    000070 => x"413a",
    000071 => x"4130",
    000072 => x"d392",
    000073 => x"ffa4",
    000074 => x"12b0",
    000075 => x"f690",
    000076 => x"12b0",
    000077 => x"f688",
    000078 => x"4130",
    000079 => x"407c",
    000080 => x"00b9",
    000081 => x"12b0",
    000082 => x"f090",
    000083 => x"403c",
    000084 => x"f735",
    000085 => x"12b0",
    000086 => x"f5d8",
    000087 => x"403d",
    000088 => x"ffa0",
    000089 => x"4d2c",
    000090 => x"930c",
    000091 => x"3bfd",
    000092 => x"4032",
    000093 => x"4000",
    000094 => x"4300",
    000095 => x"4030",
    000096 => x"f0bc",
    000097 => x"120a",
    000098 => x"1209",
    000099 => x"1208",
    000100 => x"8321",
    000101 => x"4c0a",
    000102 => x"4e09",
    000103 => x"4f08",
    000104 => x"411e",
    000105 => x"000a",
    000106 => x"4c8e",
    000107 => x"0000",
    000108 => x"4d81",
    000109 => x"0000",
    000110 => x"12b0",
    000111 => x"f6b8",
    000112 => x"4c88",
    000113 => x"0000",
    000114 => x"4a0c",
    000115 => x"412d",
    000116 => x"407e",
    000117 => x"0010",
    000118 => x"12b0",
    000119 => x"f6f2",
    000120 => x"4c89",
    000121 => x"0000",
    000122 => x"5321",
    000123 => x"4030",
    000124 => x"f6d6",
    000125 => x"120a",
    000126 => x"8231",
    000127 => x"436e",
    000128 => x"510e",
    000129 => x"4e81",
    000130 => x"0000",
    000131 => x"410f",
    000132 => x"522f",
    000133 => x"410e",
    000134 => x"503e",
    000135 => x"0006",
    000136 => x"12b0",
    000137 => x"f0c2",
    000138 => x"d392",
    000139 => x"ffa4",
    000140 => x"403a",
    000141 => x"f690",
    000142 => x"407c",
    000143 => x"0003",
    000144 => x"128a",
    000145 => x"411c",
    000146 => x"0006",
    000147 => x"128a",
    000148 => x"411c",
    000149 => x"0004",
    000150 => x"128a",
    000151 => x"411c",
    000152 => x"0002",
    000153 => x"128a",
    000154 => x"434c",
    000155 => x"128a",
    000156 => x"4c0a",
    000157 => x"12b0",
    000158 => x"f688",
    000159 => x"4a4c",
    000160 => x"5231",
    000161 => x"413a",
    000162 => x"4130",
    000163 => x"120a",
    000164 => x"1209",
    000165 => x"1208",
    000166 => x"1207",
    000167 => x"4c08",
    000168 => x"4d07",
    000169 => x"934e",
    000170 => x"200b",
    000171 => x"4039",
    000172 => x"f5c6",
    000173 => x"1289",
    000174 => x"4c4a",
    000175 => x"1289",
    000176 => x"4c4d",
    000177 => x"4a4c",
    000178 => x"12b0",
    000179 => x"f6bc",
    000180 => x"4030",
    000181 => x"f6d4",
    000182 => x"4039",
    000183 => x"f0fa",
    000184 => x"1289",
    000185 => x"4c4a",
    000186 => x"480c",
    000187 => x"531c",
    000188 => x"470d",
    000189 => x"630d",
    000190 => x"1289",
    000191 => x"4030",
    000192 => x"f160",
    000193 => x"120a",
    000194 => x"1209",
    000195 => x"1208",
    000196 => x"1207",
    000197 => x"1206",
    000198 => x"1205",
    000199 => x"1204",
    000200 => x"8031",
    000201 => x"0006",
    000202 => x"4c46",
    000203 => x"4215",
    000204 => x"fff2",
    000205 => x"f035",
    000206 => x"0100",
    000207 => x"9305",
    000208 => x"2403",
    000209 => x"435c",
    000210 => x"12b0",
    000211 => x"f034",
    000212 => x"403d",
    000213 => x"f5d8",
    000214 => x"9306",
    000215 => x"200f",
    000216 => x"403c",
    000217 => x"f742",
    000218 => x"128d",
    000219 => x"4037",
    000220 => x"f146",
    000221 => x"464e",
    000222 => x"434c",
    000223 => x"426d",
    000224 => x"1287",
    000225 => x"903c",
    000226 => x"cafe",
    000227 => x"2407",
    000228 => x"436c",
    000229 => x"4030",
    000230 => x"f1a4",
    000231 => x"403c",
    000232 => x"f755",
    000233 => x"4030",
    000234 => x"f1b4",
    000235 => x"464e",
    000236 => x"436c",
    000237 => x"426d",
    000238 => x"1287",
    000239 => x"4c0a",
    000240 => x"464e",
    000241 => x"426c",
    000242 => x"426d",
    000243 => x"1287",
    000244 => x"4c04",
    000245 => x"421f",
    000246 => x"fff6",
    000247 => x"9a0f",
    000248 => x"281d",
    000249 => x"c312",
    000250 => x"100a",
    000251 => x"4a0c",
    000252 => x"430d",
    000253 => x"4c0e",
    000254 => x"5c0e",
    000255 => x"4d0c",
    000256 => x"6d0c",
    000257 => x"4e81",
    000258 => x"0002",
    000259 => x"4c81",
    000260 => x"0004",
    000261 => x"4348",
    000262 => x"4349",
    000263 => x"9881",
    000264 => x"0002",
    000265 => x"200f",
    000266 => x"9981",
    000267 => x"0004",
    000268 => x"200c",
    000269 => x"c312",
    000270 => x"100f",
    000271 => x"9f0a",
    000272 => x"2819",
    000273 => x"9405",
    000274 => x"241e",
    000275 => x"427c",
    000276 => x"4030",
    000277 => x"f1a4",
    000278 => x"426c",
    000279 => x"4030",
    000280 => x"f1a4",
    000281 => x"464e",
    000282 => x"480c",
    000283 => x"503c",
    000284 => x"0006",
    000285 => x"490d",
    000286 => x"622d",
    000287 => x"4f81",
    000288 => x"0000",
    000289 => x"1287",
    000290 => x"ec05",
    000291 => x"4c88",
    000292 => x"0000",
    000293 => x"5328",
    000294 => x"6309",
    000295 => x"412f",
    000296 => x"4030",
    000297 => x"f20e",
    000298 => x"4a0c",
    000299 => x"5a0c",
    000300 => x"438c",
    000301 => x"0000",
    000302 => x"531a",
    000303 => x"4030",
    000304 => x"f21e",
    000305 => x"403c",
    000306 => x"f760",
    000307 => x"12b0",
    000308 => x"f5d8",
    000309 => x"5031",
    000310 => x"0006",
    000311 => x"4030",
    000312 => x"f6ce",
    000313 => x"120a",
    000314 => x"1209",
    000315 => x"8231",
    000316 => x"4e49",
    000317 => x"436e",
    000318 => x"510e",
    000319 => x"4e81",
    000320 => x"0000",
    000321 => x"410f",
    000322 => x"522f",
    000323 => x"410e",
    000324 => x"503e",
    000325 => x"0006",
    000326 => x"12b0",
    000327 => x"f0c2",
    000328 => x"407c",
    000329 => x"0006",
    000330 => x"12b0",
    000331 => x"f090",
    000332 => x"d392",
    000333 => x"ffa4",
    000334 => x"403a",
    000335 => x"f690",
    000336 => x"436c",
    000337 => x"128a",
    000338 => x"411c",
    000339 => x"0006",
    000340 => x"128a",
    000341 => x"411c",
    000342 => x"0004",
    000343 => x"128a",
    000344 => x"411c",
    000345 => x"0002",
    000346 => x"128a",
    000347 => x"490c",
    000348 => x"128a",
    000349 => x"12b0",
    000350 => x"f688",
    000351 => x"403a",
    000352 => x"f050",
    000353 => x"128a",
    000354 => x"934c",
    000355 => x"23fd",
    000356 => x"5231",
    000357 => x"4030",
    000358 => x"f6d8",
    000359 => x"120a",
    000360 => x"1209",
    000361 => x"1208",
    000362 => x"1207",
    000363 => x"4c0a",
    000364 => x"4d08",
    000365 => x"4e07",
    000366 => x"4e0c",
    000367 => x"12b0",
    000368 => x"f6b8",
    000369 => x"4039",
    000370 => x"f272",
    000371 => x"4c4e",
    000372 => x"4a0c",
    000373 => x"480d",
    000374 => x"1289",
    000375 => x"474e",
    000376 => x"4a0c",
    000377 => x"531c",
    000378 => x"480d",
    000379 => x"630d",
    000380 => x"1289",
    000381 => x"4030",
    000382 => x"f6d4",
    000383 => x"120a",
    000384 => x"8231",
    000385 => x"436e",
    000386 => x"510e",
    000387 => x"4e81",
    000388 => x"0000",
    000389 => x"410f",
    000390 => x"522f",
    000391 => x"410e",
    000392 => x"503e",
    000393 => x"0006",
    000394 => x"12b0",
    000395 => x"f0c2",
    000396 => x"407c",
    000397 => x"0006",
    000398 => x"12b0",
    000399 => x"f090",
    000400 => x"d392",
    000401 => x"ffa4",
    000402 => x"403a",
    000403 => x"f690",
    000404 => x"407c",
    000405 => x"00d8",
    000406 => x"128a",
    000407 => x"411c",
    000408 => x"0006",
    000409 => x"128a",
    000410 => x"411c",
    000411 => x"0004",
    000412 => x"128a",
    000413 => x"411c",
    000414 => x"0002",
    000415 => x"128a",
    000416 => x"12b0",
    000417 => x"f688",
    000418 => x"403a",
    000419 => x"f050",
    000420 => x"128a",
    000421 => x"934c",
    000422 => x"23fd",
    000423 => x"5231",
    000424 => x"413a",
    000425 => x"4130",
    000426 => x"120a",
    000427 => x"1209",
    000428 => x"1208",
    000429 => x"1207",
    000430 => x"1206",
    000431 => x"1205",
    000432 => x"1204",
    000433 => x"4038",
    000434 => x"f5d8",
    000435 => x"403c",
    000436 => x"f763",
    000437 => x"1288",
    000438 => x"434c",
    000439 => x"426d",
    000440 => x"12b0",
    000441 => x"f2fe",
    000442 => x"12b0",
    000443 => x"f070",
    000444 => x"934c",
    000445 => x"2002",
    000446 => x"12b0",
    000447 => x"f034",
    000448 => x"403a",
    000449 => x"f2ce",
    000450 => x"403e",
    000451 => x"cafe",
    000452 => x"434c",
    000453 => x"426d",
    000454 => x"128a",
    000455 => x"4215",
    000456 => x"fff6",
    000457 => x"450e",
    000458 => x"436c",
    000459 => x"426d",
    000460 => x"128a",
    000461 => x"4349",
    000462 => x"4904",
    000463 => x"9509",
    000464 => x"2809",
    000465 => x"440e",
    000466 => x"426c",
    000467 => x"426d",
    000468 => x"128a",
    000469 => x"403c",
    000470 => x"f760",
    000471 => x"1288",
    000472 => x"4030",
    000473 => x"f6ce",
    000474 => x"492e",
    000475 => x"ee04",
    000476 => x"4906",
    000477 => x"4307",
    000478 => x"460c",
    000479 => x"503c",
    000480 => x"0006",
    000481 => x"470d",
    000482 => x"622d",
    000483 => x"128a",
    000484 => x"5329",
    000485 => x"4030",
    000486 => x"f39e",
    000487 => x"120a",
    000488 => x"1209",
    000489 => x"1208",
    000490 => x"1207",
    000491 => x"1206",
    000492 => x"1205",
    000493 => x"12b0",
    000494 => x"f6c6",
    000495 => x"4032",
    000496 => x"c000",
    000497 => x"4382",
    000498 => x"ffec",
    000499 => x"4382",
    000500 => x"ff90",
    000501 => x"4382",
    000502 => x"ffe0",
    000503 => x"4382",
    000504 => x"ffe8",
    000505 => x"4382",
    000506 => x"ffee",
    000507 => x"4382",
    000508 => x"ff88",
    000509 => x"4382",
    000510 => x"ffa8",
    000511 => x"435c",
    000512 => x"12b0",
    000513 => x"f6a6",
    000514 => x"40b2",
    000515 => x"f00a",
    000516 => x"c000",
    000517 => x"403c",
    000518 => x"4b00",
    000519 => x"434d",
    000520 => x"12b0",
    000521 => x"f532",
    000522 => x"12b0",
    000523 => x"f5d2",
    000524 => x"436c",
    000525 => x"12b0",
    000526 => x"f660",
    000527 => x"4382",
    000528 => x"ffb0",
    000529 => x"4038",
    000530 => x"fffe",
    000531 => x"482c",
    000532 => x"5c0c",
    000533 => x"5c0c",
    000534 => x"533c",
    000535 => x"4c82",
    000536 => x"ffb4",
    000537 => x"40b2",
    000538 => x"00ff",
    000539 => x"ffb0",
    000540 => x"4382",
    000541 => x"c004",
    000542 => x"12b0",
    000543 => x"f6b2",
    000544 => x"403a",
    000545 => x"f5d8",
    000546 => x"403c",
    000547 => x"f767",
    000548 => x"128a",
    000549 => x"4039",
    000550 => x"f646",
    000551 => x"421c",
    000552 => x"fff0",
    000553 => x"1289",
    000554 => x"403c",
    000555 => x"f795",
    000556 => x"128a",
    000557 => x"421c",
    000558 => x"fff4",
    000559 => x"1289",
    000560 => x"403c",
    000561 => x"f79e",
    000562 => x"128a",
    000563 => x"482c",
    000564 => x"1289",
    000565 => x"421c",
    000566 => x"fffc",
    000567 => x"1289",
    000568 => x"403c",
    000569 => x"f7a7",
    000570 => x"128a",
    000571 => x"421c",
    000572 => x"fff6",
    000573 => x"1289",
    000574 => x"403c",
    000575 => x"f7b0",
    000576 => x"128a",
    000577 => x"421c",
    000578 => x"fffa",
    000579 => x"1289",
    000580 => x"403c",
    000581 => x"f7b9",
    000582 => x"128a",
    000583 => x"421c",
    000584 => x"fff2",
    000585 => x"1289",
    000586 => x"407c",
    000587 => x"00ab",
    000588 => x"12b0",
    000589 => x"f090",
    000590 => x"403c",
    000591 => x"f7c2",
    000592 => x"128a",
    000593 => x"403e",
    000594 => x"c004",
    000595 => x"403d",
    000596 => x"ffa2",
    000597 => x"4e2c",
    000598 => x"903c",
    000599 => x"0010",
    000600 => x"2008",
    000601 => x"435c",
    000602 => x"12b0",
    000603 => x"f182",
    000604 => x"403c",
    000605 => x"f7ea",
    000606 => x"128a",
    000607 => x"12b0",
    000608 => x"f09e",
    000609 => x"4d2c",
    000610 => x"930c",
    000611 => x"37f1",
    000612 => x"4038",
    000613 => x"f02a",
    000614 => x"1288",
    000615 => x"4037",
    000616 => x"f5c6",
    000617 => x"4036",
    000618 => x"f5b2",
    000619 => x"4035",
    000620 => x"f354",
    000621 => x"403c",
    000622 => x"f7ec",
    000623 => x"128a",
    000624 => x"1287",
    000625 => x"4c49",
    000626 => x"1286",
    000627 => x"403c",
    000628 => x"f7ea",
    000629 => x"128a",
    000630 => x"9079",
    000631 => x"0072",
    000632 => x"2004",
    000633 => x"4030",
    000634 => x"f000",
    000635 => x"4030",
    000636 => x"f4da",
    000637 => x"9079",
    000638 => x"0068",
    000639 => x"2003",
    000640 => x"1288",
    000641 => x"4030",
    000642 => x"f4da",
    000643 => x"9079",
    000644 => x"0075",
    000645 => x"2005",
    000646 => x"434c",
    000647 => x"12b0",
    000648 => x"f182",
    000649 => x"4030",
    000650 => x"f4da",
    000651 => x"9079",
    000652 => x"0070",
    000653 => x"2003",
    000654 => x"1285",
    000655 => x"4030",
    000656 => x"f4da",
    000657 => x"9079",
    000658 => x"0065",
    000659 => x"27cb",
    000660 => x"403c",
    000661 => x"f7f4",
    000662 => x"128a",
    000663 => x"4030",
    000664 => x"f4da",
    000665 => x"120a",
    000666 => x"1209",
    000667 => x"421a",
    000668 => x"fffc",
    000669 => x"421b",
    000670 => x"fffe",
    000671 => x"4c0e",
    000672 => x"5c0e",
    000673 => x"4d0f",
    000674 => x"6d0f",
    000675 => x"434c",
    000676 => x"4f09",
    000677 => x"9f0b",
    000678 => x"2804",
    000679 => x"9b09",
    000680 => x"201b",
    000681 => x"9e0a",
    000682 => x"2c19",
    000683 => x"434a",
    000684 => x"4079",
    000685 => x"0003",
    000686 => x"407d",
    000687 => x"00ff",
    000688 => x"9c0d",
    000689 => x"2817",
    000690 => x"4382",
    000691 => x"ffa0",
    000692 => x"4a0d",
    000693 => x"5a0d",
    000694 => x"5d0d",
    000695 => x"5d0d",
    000696 => x"5d0d",
    000697 => x"5d0d",
    000698 => x"5d0d",
    000699 => x"5d0d",
    000700 => x"5d0d",
    000701 => x"dc0d",
    000702 => x"d03d",
    000703 => x"1000",
    000704 => x"4d82",
    000705 => x"ffa0",
    000706 => x"4030",
    000707 => x"f6d8",
    000708 => x"8e0a",
    000709 => x"7f0b",
    000710 => x"531c",
    000711 => x"4030",
    000712 => x"f54a",
    000713 => x"936a",
    000714 => x"2402",
    000715 => x"926a",
    000716 => x"2008",
    000717 => x"490d",
    000718 => x"12b0",
    000719 => x"f6e4",
    000720 => x"535a",
    000721 => x"f03a",
    000722 => x"00ff",
    000723 => x"4030",
    000724 => x"f55c",
    000725 => x"c312",
    000726 => x"100c",
    000727 => x"4030",
    000728 => x"f5a0",
    000729 => x"f03c",
    000730 => x"00ff",
    000731 => x"403e",
    000732 => x"ffa0",
    000733 => x"4e2d",
    000734 => x"930d",
    000735 => x"3bfd",
    000736 => x"4c82",
    000737 => x"ffa2",
    000738 => x"4130",
    000739 => x"403d",
    000740 => x"ffa2",
    000741 => x"4d2c",
    000742 => x"930c",
    000743 => x"37fd",
    000744 => x"4130",
    000745 => x"421c",
    000746 => x"ffa2",
    000747 => x"4130",
    000748 => x"120a",
    000749 => x"1209",
    000750 => x"1208",
    000751 => x"1207",
    000752 => x"4c09",
    000753 => x"4038",
    000754 => x"f5b2",
    000755 => x"4077",
    000756 => x"000d",
    000757 => x"496a",
    000758 => x"930a",
    000759 => x"2002",
    000760 => x"4030",
    000761 => x"f6d4",
    000762 => x"903a",
    000763 => x"000a",
    000764 => x"2002",
    000765 => x"474c",
    000766 => x"1288",
    000767 => x"4a4c",
    000768 => x"1288",
    000769 => x"5319",
    000770 => x"4030",
    000771 => x"f5ea",
    000772 => x"f07c",
    000773 => x"000f",
    000774 => x"407d",
    000775 => x"0009",
    000776 => x"9c4d",
    000777 => x"2805",
    000778 => x"503c",
    000779 => x"0030",
    000780 => x"12b0",
    000781 => x"f5b2",
    000782 => x"4130",
    000783 => x"507c",
    000784 => x"0057",
    000785 => x"f03c",
    000786 => x"00ff",
    000787 => x"4030",
    000788 => x"f618",
    000789 => x"120a",
    000790 => x"1209",
    000791 => x"4c49",
    000792 => x"490c",
    000793 => x"426d",
    000794 => x"12b0",
    000795 => x"f6e4",
    000796 => x"403a",
    000797 => x"f608",
    000798 => x"128a",
    000799 => x"494c",
    000800 => x"128a",
    000801 => x"4030",
    000802 => x"f6d8",
    000803 => x"120a",
    000804 => x"1209",
    000805 => x"4c09",
    000806 => x"427d",
    000807 => x"12b0",
    000808 => x"f6e4",
    000809 => x"403a",
    000810 => x"f62a",
    000811 => x"128a",
    000812 => x"494c",
    000813 => x"128a",
    000814 => x"4030",
    000815 => x"f6d8",
    000816 => x"f03c",
    000817 => x"00ff",
    000818 => x"403d",
    000819 => x"ffa4",
    000820 => x"438d",
    000821 => x"0000",
    000822 => x"5c0c",
    000823 => x"5c0c",
    000824 => x"5c0c",
    000825 => x"5c0c",
    000826 => x"5c0c",
    000827 => x"5c0c",
    000828 => x"5c0c",
    000829 => x"5c0c",
    000830 => x"5c0c",
    000831 => x"d03c",
    000832 => x"0040",
    000833 => x"4c8d",
    000834 => x"0000",
    000835 => x"4130",
    000836 => x"f0b2",
    000837 => x"ffc0",
    000838 => x"ffa4",
    000839 => x"4130",
    000840 => x"403d",
    000841 => x"ffa6",
    000842 => x"4c8d",
    000843 => x"0000",
    000844 => x"403e",
    000845 => x"ffa4",
    000846 => x"4e2c",
    000847 => x"930c",
    000848 => x"3bfd",
    000849 => x"4d2c",
    000850 => x"4130",
    000851 => x"4c82",
    000852 => x"ffac",
    000853 => x"4130",
    000854 => x"ec82",
    000855 => x"ffac",
    000856 => x"4130",
    000857 => x"d232",
    000858 => x"4303",
    000859 => x"4130",
    000860 => x"108c",
    000861 => x"4130",
    000862 => x"4c4e",
    000863 => x"4d4c",
    000864 => x"108e",
    000865 => x"de0c",
    000866 => x"4130",
    000867 => x"40b2",
    000868 => x"4700",
    000869 => x"ffb8",
    000870 => x"4130",
    000871 => x"4134",
    000872 => x"4135",
    000873 => x"4136",
    000874 => x"4137",
    000875 => x"4138",
    000876 => x"4139",
    000877 => x"413a",
    000878 => x"4130",
    000879 => x"533d",
    000880 => x"c312",
    000881 => x"100c",
    000882 => x"930d",
    000883 => x"23fb",
    000884 => x"4130",
    000885 => x"533e",
    000886 => x"c312",
    000887 => x"100d",
    000888 => x"100c",
    000889 => x"930e",
    000890 => x"23fa",
    000891 => x"4130",
    000892 => x"4d43",
    000893 => x"7344",
    000894 => x"0a3a",
    000895 => x"3a68",
    000896 => x"4820",
    000897 => x"6c65",
    000898 => x"0a70",
    000899 => x"3a72",
    000900 => x"5220",
    000901 => x"7365",
    000902 => x"6174",
    000903 => x"7472",
    000904 => x"750a",
    000905 => x"203a",
    000906 => x"7055",
    000907 => x"6f6c",
    000908 => x"6461",
    000909 => x"700a",
    000910 => x"203a",
    000911 => x"7250",
    000912 => x"676f",
    000913 => x"650a",
    000914 => x"203a",
    000915 => x"7845",
    000916 => x"6365",
    000917 => x"7475",
    000918 => x"0065",
    000919 => x"0a07",
    000920 => x"5245",
    000921 => x"5f52",
    000922 => x"4200",
    000923 => x"6f6f",
    000924 => x"6974",
    000925 => x"676e",
    000926 => x"2e2e",
    000927 => x"0a2e",
    000928 => x"000a",
    000929 => x"7741",
    000930 => x"6961",
    000931 => x"6974",
    000932 => x"676e",
    000933 => x"4220",
    000934 => x"4e49",
    000935 => x"5845",
    000936 => x"2e45",
    000937 => x"2e2e",
    000938 => x"4c00",
    000939 => x"616f",
    000940 => x"6964",
    000941 => x"676e",
    000942 => x"2e2e",
    000943 => x"002e",
    000944 => x"4b4f",
    000945 => x"2e00",
    000946 => x"2e2e",
    000947 => x"0a00",
    000948 => x"4e0a",
    000949 => x"4f45",
    000950 => x"3334",
    000951 => x"2030",
    000952 => x"6f42",
    000953 => x"746f",
    000954 => x"6f6c",
    000955 => x"6461",
    000956 => x"7265",
    000957 => x"0a0a",
    000958 => x"4c42",
    000959 => x"3a56",
    000960 => x"4d20",
    000961 => x"7961",
    000962 => x"3120",
    000963 => x"2033",
    000964 => x"3032",
    000965 => x"3032",
    000966 => x"480a",
    000967 => x"5657",
    000968 => x"203a",
    000969 => x"7830",
    000970 => x"0a00",
    000971 => x"5355",
    000972 => x"3a52",
    000973 => x"3020",
    000974 => x"0078",
    000975 => x"430a",
    000976 => x"4b4c",
    000977 => x"203a",
    000978 => x"7830",
    000979 => x"0a00",
    000980 => x"4f52",
    000981 => x"3a4d",
    000982 => x"3020",
    000983 => x"0078",
    000984 => x"520a",
    000985 => x"4d41",
    000986 => x"203a",
    000987 => x"7830",
    000988 => x"0a00",
    000989 => x"5953",
    000990 => x"3a53",
    000991 => x"3020",
    000992 => x"0078",
    000993 => x"0a0a",
    000994 => x"7541",
    000995 => x"6f74",
    000996 => x"6f62",
    000997 => x"746f",
    000998 => x"6920",
    000999 => x"206e",
    001000 => x"7334",
    001001 => x"202e",
    001002 => x"7250",
    001003 => x"7365",
    001004 => x"2073",
    001005 => x"656b",
    001006 => x"2079",
    001007 => x"6f74",
    001008 => x"6120",
    001009 => x"6f62",
    001010 => x"7472",
    001011 => x"0a2e",
    001012 => x"000a",
    001013 => x"000a",
    001014 => x"430a",
    001015 => x"444d",
    001016 => x"3e3a",
    001017 => x"0020",
    001018 => x"6142",
    001019 => x"2064",
    001020 => x"4d43",
    001021 => x"0044",
    others => x"0000"
  );
 
end neo430_bootloader_image;
 

Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.