OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_application_image.vhd] - Rev 65

Go to most recent revision | Compare with Previous | Blame | View Log

-- The NEORV32 RISC-V Processor, https://github.com/stnolting/neorv32
-- Auto-generated memory init file (for APPLICATION) from source file <blink_led/main.bin>
-- Size: 3320 bytes
 
library ieee;
use ieee.std_logic_1164.all;
 
library neorv32;
use neorv32.neorv32_package.all;
 
package neorv32_application_image is
 
  constant application_init_image : mem32_t := (
    00000000 => x"00000037",
    00000001 => x"80002117",
    00000002 => x"ff810113",
    00000003 => x"80000197",
    00000004 => x"7f418193",
    00000005 => x"00000517",
    00000006 => x"12050513",
    00000007 => x"30551073",
    00000008 => x"34151073",
    00000009 => x"30001073",
    00000010 => x"30401073",
    00000011 => x"30601073",
    00000012 => x"ffa00593",
    00000013 => x"32059073",
    00000014 => x"b0001073",
    00000015 => x"b8001073",
    00000016 => x"b0201073",
    00000017 => x"b8201073",
    00000018 => x"00000093",
    00000019 => x"00000213",
    00000020 => x"00000293",
    00000021 => x"00000313",
    00000022 => x"00000393",
    00000023 => x"00000713",
    00000024 => x"00000793",
    00000025 => x"00000813",
    00000026 => x"00000893",
    00000027 => x"00000913",
    00000028 => x"00000993",
    00000029 => x"00000a13",
    00000030 => x"00000a93",
    00000031 => x"00000b13",
    00000032 => x"00000b93",
    00000033 => x"00000c13",
    00000034 => x"00000c93",
    00000035 => x"00000d13",
    00000036 => x"00000d93",
    00000037 => x"00000e13",
    00000038 => x"00000e93",
    00000039 => x"00000f13",
    00000040 => x"00000f93",
    00000041 => x"00000417",
    00000042 => x"d5c40413",
    00000043 => x"00000497",
    00000044 => x"f5448493",
    00000045 => x"00042023",
    00000046 => x"00440413",
    00000047 => x"fe941ce3",
    00000048 => x"80000597",
    00000049 => x"f4058593",
    00000050 => x"87418613",
    00000051 => x"00c5d863",
    00000052 => x"00058023",
    00000053 => x"00158593",
    00000054 => x"ff5ff06f",
    00000055 => x"00001597",
    00000056 => x"c1c58593",
    00000057 => x"80000617",
    00000058 => x"f1c60613",
    00000059 => x"80000697",
    00000060 => x"f1468693",
    00000061 => x"00d65c63",
    00000062 => x"00058703",
    00000063 => x"00e60023",
    00000064 => x"00158593",
    00000065 => x"00160613",
    00000066 => x"fedff06f",
    00000067 => x"00000513",
    00000068 => x"00000593",
    00000069 => x"06c000ef",
    00000070 => x"34051073",
    00000071 => x"00000093",
    00000072 => x"00008463",
    00000073 => x"000080e7",
    00000074 => x"30047073",
    00000075 => x"10500073",
    00000076 => x"ffdff06f",
    00000077 => x"ff810113",
    00000078 => x"00812023",
    00000079 => x"00912223",
    00000080 => x"34202473",
    00000081 => x"02044663",
    00000082 => x"34102473",
    00000083 => x"00041483",
    00000084 => x"0034f493",
    00000085 => x"00240413",
    00000086 => x"34141073",
    00000087 => x"00300413",
    00000088 => x"00941863",
    00000089 => x"34102473",
    00000090 => x"00240413",
    00000091 => x"34141073",
    00000092 => x"00012403",
    00000093 => x"00412483",
    00000094 => x"00810113",
    00000095 => x"30200073",
    00000096 => x"00005537",
    00000097 => x"ff010113",
    00000098 => x"00000613",
    00000099 => x"00000593",
    00000100 => x"b0050513",
    00000101 => x"00112623",
    00000102 => x"088000ef",
    00000103 => x"718000ef",
    00000104 => x"00050c63",
    00000105 => x"6c8000ef",
    00000106 => x"00001537",
    00000107 => x"a6050513",
    00000108 => x"118000ef",
    00000109 => x"020000ef",
    00000110 => x"00001537",
    00000111 => x"a3c50513",
    00000112 => x"108000ef",
    00000113 => x"00c12083",
    00000114 => x"00100513",
    00000115 => x"01010113",
    00000116 => x"00008067",
    00000117 => x"ff010113",
    00000118 => x"00000513",
    00000119 => x"00000593",
    00000120 => x"00112623",
    00000121 => x"00812423",
    00000122 => x"6dc000ef",
    00000123 => x"00000513",
    00000124 => x"00150413",
    00000125 => x"00000593",
    00000126 => x"0ff57513",
    00000127 => x"6c8000ef",
    00000128 => x"0c800513",
    00000129 => x"148000ef",
    00000130 => x"00040513",
    00000131 => x"fe5ff06f",
    00000132 => x"fe802503",
    00000133 => x"01255513",
    00000134 => x"00157513",
    00000135 => x"00008067",
    00000136 => x"fa002023",
    00000137 => x"fe002703",
    00000138 => x"00151513",
    00000139 => x"00000793",
    00000140 => x"04a77463",
    00000141 => x"000016b7",
    00000142 => x"00000713",
    00000143 => x"ffe68693",
    00000144 => x"04f6e663",
    00000145 => x"00367613",
    00000146 => x"0035f593",
    00000147 => x"fff78793",
    00000148 => x"01461613",
    00000149 => x"00c7e7b3",
    00000150 => x"01659593",
    00000151 => x"01871713",
    00000152 => x"00b7e7b3",
    00000153 => x"00e7e7b3",
    00000154 => x"10000737",
    00000155 => x"00e7e7b3",
    00000156 => x"faf02023",
    00000157 => x"00008067",
    00000158 => x"00178793",
    00000159 => x"01079793",
    00000160 => x"40a70733",
    00000161 => x"0107d793",
    00000162 => x"fa9ff06f",
    00000163 => x"ffe70513",
    00000164 => x"0fd57513",
    00000165 => x"00051a63",
    00000166 => x"0037d793",
    00000167 => x"00170713",
    00000168 => x"0ff77713",
    00000169 => x"f9dff06f",
    00000170 => x"0017d793",
    00000171 => x"ff1ff06f",
    00000172 => x"00040737",
    00000173 => x"fa002783",
    00000174 => x"00e7f7b3",
    00000175 => x"fe079ce3",
    00000176 => x"faa02223",
    00000177 => x"00008067",
    00000178 => x"ff010113",
    00000179 => x"00812423",
    00000180 => x"01212023",
    00000181 => x"00112623",
    00000182 => x"00912223",
    00000183 => x"00050413",
    00000184 => x"00a00913",
    00000185 => x"00044483",
    00000186 => x"00140413",
    00000187 => x"00049e63",
    00000188 => x"00c12083",
    00000189 => x"00812403",
    00000190 => x"00412483",
    00000191 => x"00012903",
    00000192 => x"01010113",
    00000193 => x"00008067",
    00000194 => x"01249663",
    00000195 => x"00d00513",
    00000196 => x"fa1ff0ef",
    00000197 => x"00048513",
    00000198 => x"f99ff0ef",
    00000199 => x"fc9ff06f",
    00000200 => x"ff010113",
    00000201 => x"c81026f3",
    00000202 => x"c0102773",
    00000203 => x"c81027f3",
    00000204 => x"fed79ae3",
    00000205 => x"00e12023",
    00000206 => x"00f12223",
    00000207 => x"00012503",
    00000208 => x"00412583",
    00000209 => x"01010113",
    00000210 => x"00008067",
    00000211 => x"fd010113",
    00000212 => x"00a12623",
    00000213 => x"fe002503",
    00000214 => x"3e800593",
    00000215 => x"02112623",
    00000216 => x"02812423",
    00000217 => x"02912223",
    00000218 => x"03212023",
    00000219 => x"01312e23",
    00000220 => x"620000ef",
    00000221 => x"00c12603",
    00000222 => x"00000693",
    00000223 => x"00000593",
    00000224 => x"578000ef",
    00000225 => x"00050413",
    00000226 => x"00058993",
    00000227 => x"f95ff0ef",
    00000228 => x"00058913",
    00000229 => x"00050493",
    00000230 => x"f89ff0ef",
    00000231 => x"00b96663",
    00000232 => x"05259263",
    00000233 => x"04a4f063",
    00000234 => x"008484b3",
    00000235 => x"0084b433",
    00000236 => x"01390933",
    00000237 => x"01240433",
    00000238 => x"f69ff0ef",
    00000239 => x"fe85eee3",
    00000240 => x"00b41463",
    00000241 => x"fe956ae3",
    00000242 => x"02c12083",
    00000243 => x"02812403",
    00000244 => x"02412483",
    00000245 => x"02012903",
    00000246 => x"01c12983",
    00000247 => x"03010113",
    00000248 => x"00008067",
    00000249 => x"01c99913",
    00000250 => x"00445413",
    00000251 => x"00896433",
    00000252 => x"00040a63",
    00000253 => x"00040863",
    00000254 => x"fff40413",
    00000255 => x"00000013",
    00000256 => x"ff1ff06f",
    00000257 => x"fc5ff06f",
    00000258 => x"00000000",
    00000259 => x"00000000",
    00000260 => x"fc010113",
    00000261 => x"02112e23",
    00000262 => x"02512c23",
    00000263 => x"02612a23",
    00000264 => x"02712823",
    00000265 => x"02a12623",
    00000266 => x"02b12423",
    00000267 => x"02c12223",
    00000268 => x"02d12023",
    00000269 => x"00e12e23",
    00000270 => x"00f12c23",
    00000271 => x"01012a23",
    00000272 => x"01112823",
    00000273 => x"01c12623",
    00000274 => x"01d12423",
    00000275 => x"01e12223",
    00000276 => x"01f12023",
    00000277 => x"34102773",
    00000278 => x"34071073",
    00000279 => x"342027f3",
    00000280 => x"0807c863",
    00000281 => x"00071683",
    00000282 => x"00300593",
    00000283 => x"0036f693",
    00000284 => x"00270613",
    00000285 => x"00b69463",
    00000286 => x"00470613",
    00000287 => x"34161073",
    00000288 => x"00b00713",
    00000289 => x"04f77a63",
    00000290 => x"68c00793",
    00000291 => x"000780e7",
    00000292 => x"03c12083",
    00000293 => x"03812283",
    00000294 => x"03412303",
    00000295 => x"03012383",
    00000296 => x"02c12503",
    00000297 => x"02812583",
    00000298 => x"02412603",
    00000299 => x"02012683",
    00000300 => x"01c12703",
    00000301 => x"01812783",
    00000302 => x"01412803",
    00000303 => x"01012883",
    00000304 => x"00c12e03",
    00000305 => x"00812e83",
    00000306 => x"00412f03",
    00000307 => x"00012f83",
    00000308 => x"04010113",
    00000309 => x"30200073",
    00000310 => x"00001737",
    00000311 => x"00279793",
    00000312 => x"a7c70713",
    00000313 => x"00e787b3",
    00000314 => x"0007a783",
    00000315 => x"00078067",
    00000316 => x"80000737",
    00000317 => x"ffd74713",
    00000318 => x"00e787b3",
    00000319 => x"01c00713",
    00000320 => x"f8f764e3",
    00000321 => x"00001737",
    00000322 => x"00279793",
    00000323 => x"aac70713",
    00000324 => x"00e787b3",
    00000325 => x"0007a783",
    00000326 => x"00078067",
    00000327 => x"800007b7",
    00000328 => x"0007a783",
    00000329 => x"f69ff06f",
    00000330 => x"800007b7",
    00000331 => x"0047a783",
    00000332 => x"f5dff06f",
    00000333 => x"800007b7",
    00000334 => x"0087a783",
    00000335 => x"f51ff06f",
    00000336 => x"800007b7",
    00000337 => x"00c7a783",
    00000338 => x"f45ff06f",
    00000339 => x"8101a783",
    00000340 => x"f3dff06f",
    00000341 => x"8141a783",
    00000342 => x"f35ff06f",
    00000343 => x"8181a783",
    00000344 => x"f2dff06f",
    00000345 => x"81c1a783",
    00000346 => x"f25ff06f",
    00000347 => x"8201a783",
    00000348 => x"f1dff06f",
    00000349 => x"8241a783",
    00000350 => x"f15ff06f",
    00000351 => x"8281a783",
    00000352 => x"f0dff06f",
    00000353 => x"82c1a783",
    00000354 => x"f05ff06f",
    00000355 => x"8301a783",
    00000356 => x"efdff06f",
    00000357 => x"8341a783",
    00000358 => x"ef5ff06f",
    00000359 => x"8381a783",
    00000360 => x"eedff06f",
    00000361 => x"83c1a783",
    00000362 => x"ee5ff06f",
    00000363 => x"8401a783",
    00000364 => x"eddff06f",
    00000365 => x"8441a783",
    00000366 => x"ed5ff06f",
    00000367 => x"8481a783",
    00000368 => x"ecdff06f",
    00000369 => x"84c1a783",
    00000370 => x"ec5ff06f",
    00000371 => x"8501a783",
    00000372 => x"ebdff06f",
    00000373 => x"8541a783",
    00000374 => x"eb5ff06f",
    00000375 => x"8581a783",
    00000376 => x"eadff06f",
    00000377 => x"85c1a783",
    00000378 => x"ea5ff06f",
    00000379 => x"8601a783",
    00000380 => x"e9dff06f",
    00000381 => x"8641a783",
    00000382 => x"e95ff06f",
    00000383 => x"8681a783",
    00000384 => x"e8dff06f",
    00000385 => x"86c1a783",
    00000386 => x"e85ff06f",
    00000387 => x"8701a783",
    00000388 => x"e7dff06f",
    00000389 => x"00000000",
    00000390 => x"00000000",
    00000391 => x"fe010113",
    00000392 => x"01212823",
    00000393 => x"00050913",
    00000394 => x"00001537",
    00000395 => x"00912a23",
    00000396 => x"b2050513",
    00000397 => x"000014b7",
    00000398 => x"00812c23",
    00000399 => x"01312623",
    00000400 => x"00112e23",
    00000401 => x"01c00413",
    00000402 => x"c81ff0ef",
    00000403 => x"ce848493",
    00000404 => x"ffc00993",
    00000405 => x"008957b3",
    00000406 => x"00f7f793",
    00000407 => x"00f487b3",
    00000408 => x"0007c503",
    00000409 => x"ffc40413",
    00000410 => x"c49ff0ef",
    00000411 => x"ff3414e3",
    00000412 => x"01c12083",
    00000413 => x"01812403",
    00000414 => x"01412483",
    00000415 => x"01012903",
    00000416 => x"00c12983",
    00000417 => x"02010113",
    00000418 => x"00008067",
    00000419 => x"ff010113",
    00000420 => x"00112623",
    00000421 => x"00812423",
    00000422 => x"00912223",
    00000423 => x"b75ff0ef",
    00000424 => x"18050463",
    00000425 => x"00001537",
    00000426 => x"b2450513",
    00000427 => x"c1dff0ef",
    00000428 => x"34202473",
    00000429 => x"00900713",
    00000430 => x"00f47793",
    00000431 => x"03078493",
    00000432 => x"00f77463",
    00000433 => x"05778493",
    00000434 => x"00b00793",
    00000435 => x"0087ee63",
    00000436 => x"00001737",
    00000437 => x"00241793",
    00000438 => x"cb870713",
    00000439 => x"00e787b3",
    00000440 => x"0007a783",
    00000441 => x"00078067",
    00000442 => x"800007b7",
    00000443 => x"00b78713",
    00000444 => x"12e40663",
    00000445 => x"02876663",
    00000446 => x"00378713",
    00000447 => x"10e40463",
    00000448 => x"00778793",
    00000449 => x"10f40663",
    00000450 => x"00001537",
    00000451 => x"c8450513",
    00000452 => x"bb9ff0ef",
    00000453 => x"00040513",
    00000454 => x"f05ff0ef",
    00000455 => x"0380006f",
    00000456 => x"ff07c793",
    00000457 => x"00f407b3",
    00000458 => x"00f00713",
    00000459 => x"fcf76ee3",
    00000460 => x"00001537",
    00000461 => x"c7450513",
    00000462 => x"b91ff0ef",
    00000463 => x"00048513",
    00000464 => x"b71ff0ef",
    00000465 => x"0100006f",
    00000466 => x"00001537",
    00000467 => x"b2c50513",
    00000468 => x"b79ff0ef",
    00000469 => x"00001537",
    00000470 => x"c9c50513",
    00000471 => x"b6dff0ef",
    00000472 => x"34002573",
    00000473 => x"eb9ff0ef",
    00000474 => x"00001537",
    00000475 => x"ca450513",
    00000476 => x"b59ff0ef",
    00000477 => x"34302573",
    00000478 => x"ea5ff0ef",
    00000479 => x"00812403",
    00000480 => x"00c12083",
    00000481 => x"00412483",
    00000482 => x"00001537",
    00000483 => x"cb050513",
    00000484 => x"01010113",
    00000485 => x"b35ff06f",
    00000486 => x"00001537",
    00000487 => x"b4c50513",
    00000488 => x"fb1ff06f",
    00000489 => x"00001537",
    00000490 => x"b6850513",
    00000491 => x"fa5ff06f",
    00000492 => x"00001537",
    00000493 => x"b7c50513",
    00000494 => x"f99ff06f",
    00000495 => x"00001537",
    00000496 => x"b8850513",
    00000497 => x"f8dff06f",
    00000498 => x"00001537",
    00000499 => x"ba050513",
    00000500 => x"f81ff06f",
    00000501 => x"00001537",
    00000502 => x"bb450513",
    00000503 => x"f75ff06f",
    00000504 => x"00001537",
    00000505 => x"bd050513",
    00000506 => x"f69ff06f",
    00000507 => x"00001537",
    00000508 => x"be450513",
    00000509 => x"f5dff06f",
    00000510 => x"00001537",
    00000511 => x"c0450513",
    00000512 => x"f51ff06f",
    00000513 => x"00001537",
    00000514 => x"c2450513",
    00000515 => x"f45ff06f",
    00000516 => x"00001537",
    00000517 => x"c4050513",
    00000518 => x"f39ff06f",
    00000519 => x"00001537",
    00000520 => x"c5850513",
    00000521 => x"f2dff06f",
    00000522 => x"00c12083",
    00000523 => x"00812403",
    00000524 => x"00412483",
    00000525 => x"01010113",
    00000526 => x"00008067",
    00000527 => x"01f00793",
    00000528 => x"02a7e263",
    00000529 => x"800007b7",
    00000530 => x"00078793",
    00000531 => x"00251513",
    00000532 => x"00a78533",
    00000533 => x"68c00793",
    00000534 => x"00f52023",
    00000535 => x"00000513",
    00000536 => x"00008067",
    00000537 => x"00100513",
    00000538 => x"00008067",
    00000539 => x"ff010113",
    00000540 => x"00112623",
    00000541 => x"00812423",
    00000542 => x"00912223",
    00000543 => x"41000793",
    00000544 => x"30579073",
    00000545 => x"00000413",
    00000546 => x"01d00493",
    00000547 => x"00040513",
    00000548 => x"00140413",
    00000549 => x"0ff47413",
    00000550 => x"fa5ff0ef",
    00000551 => x"fe9418e3",
    00000552 => x"00c12083",
    00000553 => x"00812403",
    00000554 => x"00412483",
    00000555 => x"01010113",
    00000556 => x"00008067",
    00000557 => x"fe802503",
    00000558 => x"01055513",
    00000559 => x"00157513",
    00000560 => x"00008067",
    00000561 => x"fc000793",
    00000562 => x"00a7a423",
    00000563 => x"00b7a623",
    00000564 => x"00008067",
    00000565 => x"00050613",
    00000566 => x"00000513",
    00000567 => x"0015f693",
    00000568 => x"00068463",
    00000569 => x"00c50533",
    00000570 => x"0015d593",
    00000571 => x"00161613",
    00000572 => x"fe0596e3",
    00000573 => x"00008067",
    00000574 => x"00050313",
    00000575 => x"ff010113",
    00000576 => x"00060513",
    00000577 => x"00068893",
    00000578 => x"00112623",
    00000579 => x"00030613",
    00000580 => x"00050693",
    00000581 => x"00000713",
    00000582 => x"00000793",
    00000583 => x"00000813",
    00000584 => x"0016fe13",
    00000585 => x"00171e93",
    00000586 => x"000e0c63",
    00000587 => x"01060e33",
    00000588 => x"010e3833",
    00000589 => x"00e787b3",
    00000590 => x"00f807b3",
    00000591 => x"000e0813",
    00000592 => x"01f65713",
    00000593 => x"0016d693",
    00000594 => x"00eee733",
    00000595 => x"00161613",
    00000596 => x"fc0698e3",
    00000597 => x"00058663",
    00000598 => x"f7dff0ef",
    00000599 => x"00a787b3",
    00000600 => x"00088a63",
    00000601 => x"00030513",
    00000602 => x"00088593",
    00000603 => x"f69ff0ef",
    00000604 => x"00f507b3",
    00000605 => x"00c12083",
    00000606 => x"00080513",
    00000607 => x"00078593",
    00000608 => x"01010113",
    00000609 => x"00008067",
    00000610 => x"06054063",
    00000611 => x"0605c663",
    00000612 => x"00058613",
    00000613 => x"00050593",
    00000614 => x"fff00513",
    00000615 => x"02060c63",
    00000616 => x"00100693",
    00000617 => x"00b67a63",
    00000618 => x"00c05863",
    00000619 => x"00161613",
    00000620 => x"00169693",
    00000621 => x"feb66ae3",
    00000622 => x"00000513",
    00000623 => x"00c5e663",
    00000624 => x"40c585b3",
    00000625 => x"00d56533",
    00000626 => x"0016d693",
    00000627 => x"00165613",
    00000628 => x"fe0696e3",
    00000629 => x"00008067",
    00000630 => x"00008293",
    00000631 => x"fb5ff0ef",
    00000632 => x"00058513",
    00000633 => x"00028067",
    00000634 => x"40a00533",
    00000635 => x"00b04863",
    00000636 => x"40b005b3",
    00000637 => x"f9dff06f",
    00000638 => x"40b005b3",
    00000639 => x"00008293",
    00000640 => x"f91ff0ef",
    00000641 => x"40a00533",
    00000642 => x"00028067",
    00000643 => x"00008293",
    00000644 => x"0005ca63",
    00000645 => x"00054c63",
    00000646 => x"f79ff0ef",
    00000647 => x"00058513",
    00000648 => x"00028067",
    00000649 => x"40b005b3",
    00000650 => x"fe0558e3",
    00000651 => x"40a00533",
    00000652 => x"f61ff0ef",
    00000653 => x"40b00533",
    00000654 => x"00028067",
    00000655 => x"6f727245",
    00000656 => x"4e202172",
    00000657 => x"5047206f",
    00000658 => x"75204f49",
    00000659 => x"2074696e",
    00000660 => x"746e7973",
    00000661 => x"69736568",
    00000662 => x"2164657a",
    00000663 => x"0000000a",
    00000664 => x"6e696c42",
    00000665 => x"676e696b",
    00000666 => x"44454c20",
    00000667 => x"6d656420",
    00000668 => x"7270206f",
    00000669 => x"6172676f",
    00000670 => x"00000a6d",
    00000671 => x"0000051c",
    00000672 => x"00000528",
    00000673 => x"00000534",
    00000674 => x"00000540",
    00000675 => x"0000054c",
    00000676 => x"00000554",
    00000677 => x"0000055c",
    00000678 => x"00000564",
    00000679 => x"0000056c",
    00000680 => x"00000488",
    00000681 => x"00000488",
    00000682 => x"00000574",
    00000683 => x"0000057c",
    00000684 => x"00000488",
    00000685 => x"00000488",
    00000686 => x"00000488",
    00000687 => x"00000584",
    00000688 => x"00000488",
    00000689 => x"00000488",
    00000690 => x"00000488",
    00000691 => x"0000058c",
    00000692 => x"00000488",
    00000693 => x"00000488",
    00000694 => x"00000488",
    00000695 => x"00000488",
    00000696 => x"00000594",
    00000697 => x"0000059c",
    00000698 => x"000005a4",
    00000699 => x"000005ac",
    00000700 => x"000005b4",
    00000701 => x"000005bc",
    00000702 => x"000005c4",
    00000703 => x"000005cc",
    00000704 => x"000005d4",
    00000705 => x"000005dc",
    00000706 => x"000005e4",
    00000707 => x"000005ec",
    00000708 => x"000005f4",
    00000709 => x"000005fc",
    00000710 => x"00000604",
    00000711 => x"0000060c",
    00000712 => x"00007830",
    00000713 => x"4554523c",
    00000714 => x"0000203e",
    00000715 => x"74736e49",
    00000716 => x"74637572",
    00000717 => x"206e6f69",
    00000718 => x"72646461",
    00000719 => x"20737365",
    00000720 => x"6173696d",
    00000721 => x"6e67696c",
    00000722 => x"00006465",
    00000723 => x"74736e49",
    00000724 => x"74637572",
    00000725 => x"206e6f69",
    00000726 => x"65636361",
    00000727 => x"66207373",
    00000728 => x"746c7561",
    00000729 => x"00000000",
    00000730 => x"656c6c49",
    00000731 => x"206c6167",
    00000732 => x"74736e69",
    00000733 => x"74637572",
    00000734 => x"006e6f69",
    00000735 => x"61657242",
    00000736 => x"696f706b",
    00000737 => x"0000746e",
    00000738 => x"64616f4c",
    00000739 => x"64646120",
    00000740 => x"73736572",
    00000741 => x"73696d20",
    00000742 => x"67696c61",
    00000743 => x"0064656e",
    00000744 => x"64616f4c",
    00000745 => x"63636120",
    00000746 => x"20737365",
    00000747 => x"6c756166",
    00000748 => x"00000074",
    00000749 => x"726f7453",
    00000750 => x"64612065",
    00000751 => x"73657264",
    00000752 => x"696d2073",
    00000753 => x"696c6173",
    00000754 => x"64656e67",
    00000755 => x"00000000",
    00000756 => x"726f7453",
    00000757 => x"63612065",
    00000758 => x"73736563",
    00000759 => x"75616620",
    00000760 => x"0000746c",
    00000761 => x"69766e45",
    00000762 => x"6d6e6f72",
    00000763 => x"20746e65",
    00000764 => x"6c6c6163",
    00000765 => x"6f726620",
    00000766 => x"2d55206d",
    00000767 => x"65646f6d",
    00000768 => x"00000000",
    00000769 => x"69766e45",
    00000770 => x"6d6e6f72",
    00000771 => x"20746e65",
    00000772 => x"6c6c6163",
    00000773 => x"6f726620",
    00000774 => x"2d4d206d",
    00000775 => x"65646f6d",
    00000776 => x"00000000",
    00000777 => x"6863614d",
    00000778 => x"20656e69",
    00000779 => x"74666f73",
    00000780 => x"65726177",
    00000781 => x"746e6920",
    00000782 => x"75727265",
    00000783 => x"00007470",
    00000784 => x"6863614d",
    00000785 => x"20656e69",
    00000786 => x"656d6974",
    00000787 => x"6e692072",
    00000788 => x"72726574",
    00000789 => x"00747075",
    00000790 => x"6863614d",
    00000791 => x"20656e69",
    00000792 => x"65747865",
    00000793 => x"6c616e72",
    00000794 => x"746e6920",
    00000795 => x"75727265",
    00000796 => x"00007470",
    00000797 => x"74736146",
    00000798 => x"746e6920",
    00000799 => x"75727265",
    00000800 => x"00207470",
    00000801 => x"6e6b6e55",
    00000802 => x"206e776f",
    00000803 => x"70617274",
    00000804 => x"75616320",
    00000805 => x"203a6573",
    00000806 => x"00000000",
    00000807 => x"50204020",
    00000808 => x"00003d43",
    00000809 => x"544d202c",
    00000810 => x"3d4c4156",
    00000811 => x"00000000",
    00000812 => x"522f3c20",
    00000813 => x"003e4554",
    00000814 => x"00000748",
    00000815 => x"00000798",
    00000816 => x"000007a4",
    00000817 => x"000007b0",
    00000818 => x"000007bc",
    00000819 => x"000007c8",
    00000820 => x"000007d4",
    00000821 => x"000007e0",
    00000822 => x"000007ec",
    00000823 => x"00000708",
    00000824 => x"00000708",
    00000825 => x"000007f8",
    00000826 => x"33323130",
    00000827 => x"37363534",
    00000828 => x"42413938",
    00000829 => x"46454443"
  );
 
end neorv32_application_image;
 

Go to most recent revision | Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.