OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_application_image.vhd] - Rev 7

Go to most recent revision | Compare with Previous | Blame | View Log

-- The NEORV32 Processor by Stephan Nolting, https://github.com/stnolting/neorv32
-- Auto-generated memory init file (for APPLICATION) from source file <blink_led/main.bin>
 
library ieee;
use ieee.std_logic_1164.all;
 
package neorv32_application_image is
 
  type application_init_image_t is array (0 to 65535) of std_ulogic_vector(31 downto 0);
  constant application_init_image : application_init_image_t := (
    00000000 => x"00000013",
    00000001 => x"00000093",
    00000002 => x"00008113",
    00000003 => x"00010193",
    00000004 => x"00018213",
    00000005 => x"00020293",
    00000006 => x"00028313",
    00000007 => x"00030393",
    00000008 => x"00038413",
    00000009 => x"00040493",
    00000010 => x"00048513",
    00000011 => x"00050593",
    00000012 => x"00058613",
    00000013 => x"00060693",
    00000014 => x"00068713",
    00000015 => x"00070793",
    00000016 => x"00078813",
    00000017 => x"00080893",
    00000018 => x"00088913",
    00000019 => x"00090993",
    00000020 => x"00098a13",
    00000021 => x"000a0a93",
    00000022 => x"000a8b13",
    00000023 => x"000b0b93",
    00000024 => x"000b8c13",
    00000025 => x"000c0c93",
    00000026 => x"000c8d13",
    00000027 => x"000d0d93",
    00000028 => x"000d8e13",
    00000029 => x"000e0e93",
    00000030 => x"000e8f13",
    00000031 => x"000f0f93",
    00000032 => x"00000013",
    00000033 => x"fc5025f3",
    00000034 => x"fc702673",
    00000035 => x"00c58133",
    00000036 => x"ffc10113",
    00000037 => x"00010413",
    00000038 => x"80000197",
    00000039 => x"7e818193",
    00000040 => x"00000597",
    00000041 => x"0b458593",
    00000042 => x"30559073",
    00000043 => x"fc5025f3",
    00000044 => x"00000617",
    00000045 => x"1f060613",
    00000046 => x"02000693",
    00000047 => x"00c5a023",
    00000048 => x"00458593",
    00000049 => x"fff68693",
    00000050 => x"fed01ae3",
    00000051 => x"f8000593",
    00000052 => x"0005a023",
    00000053 => x"00458593",
    00000054 => x"feb01ce3",
    00000055 => x"fff00593",
    00000056 => x"f8b02c23",
    00000057 => x"f8b02e23",
    00000058 => x"80000597",
    00000059 => x"f9858593",
    00000060 => x"80000617",
    00000061 => x"f9060613",
    00000062 => x"00c5d863",
    00000063 => x"00058023",
    00000064 => x"00158593",
    00000065 => x"ff5ff06f",
    00000066 => x"00001597",
    00000067 => x"cc058593",
    00000068 => x"80000617",
    00000069 => x"f7060613",
    00000070 => x"80000697",
    00000071 => x"f6868693",
    00000072 => x"00d65c63",
    00000073 => x"00058703",
    00000074 => x"00e60023",
    00000075 => x"00158593",
    00000076 => x"00160613",
    00000077 => x"fedff06f",
    00000078 => x"00000513",
    00000079 => x"00000593",
    00000080 => x"164000ef",
    00000081 => x"10500073",
    00000082 => x"30047073",
    00000083 => x"10500073",
    00000084 => x"0000006f",
    00000085 => x"f8810113",
    00000086 => x"00112023",
    00000087 => x"00312223",
    00000088 => x"00412423",
    00000089 => x"00512623",
    00000090 => x"00612823",
    00000091 => x"00712a23",
    00000092 => x"00812c23",
    00000093 => x"00912e23",
    00000094 => x"02a12023",
    00000095 => x"02b12223",
    00000096 => x"02c12423",
    00000097 => x"02d12623",
    00000098 => x"02e12823",
    00000099 => x"02f12a23",
    00000100 => x"03012c23",
    00000101 => x"03112e23",
    00000102 => x"05212023",
    00000103 => x"05312223",
    00000104 => x"05412423",
    00000105 => x"05512623",
    00000106 => x"05612823",
    00000107 => x"05712a23",
    00000108 => x"05812c23",
    00000109 => x"05912e23",
    00000110 => x"07a12023",
    00000111 => x"07b12223",
    00000112 => x"07c12423",
    00000113 => x"07d12623",
    00000114 => x"07e12823",
    00000115 => x"07f12a23",
    00000116 => x"342022f3",
    00000117 => x"00f2f313",
    00000118 => x"00231313",
    00000119 => x"fc5020f3",
    00000120 => x"00130333",
    00000121 => x"341020f3",
    00000122 => x"0002cc63",
    00000123 => x"34a022f3",
    00000124 => x"0022f293",
    00000125 => x"00208093",
    00000126 => x"005080b3",
    00000127 => x"0080006f",
    00000128 => x"04030313",
    00000129 => x"00032283",
    00000130 => x"ffc10113",
    00000131 => x"00112023",
    00000132 => x"000280e7",
    00000133 => x"00012083",
    00000134 => x"00410113",
    00000135 => x"34109073",
    00000136 => x"00012083",
    00000137 => x"00412183",
    00000138 => x"00812203",
    00000139 => x"00c12283",
    00000140 => x"01012303",
    00000141 => x"01412383",
    00000142 => x"01812403",
    00000143 => x"01c12483",
    00000144 => x"02012503",
    00000145 => x"02412583",
    00000146 => x"02812603",
    00000147 => x"02c12683",
    00000148 => x"03012703",
    00000149 => x"03412783",
    00000150 => x"03812803",
    00000151 => x"03c12883",
    00000152 => x"04012903",
    00000153 => x"04412983",
    00000154 => x"04812a03",
    00000155 => x"04c12a83",
    00000156 => x"05012b03",
    00000157 => x"05412b83",
    00000158 => x"05812c03",
    00000159 => x"05c12c83",
    00000160 => x"06012d03",
    00000161 => x"06412d83",
    00000162 => x"06812e03",
    00000163 => x"06c12e83",
    00000164 => x"07012f03",
    00000165 => x"07412f83",
    00000166 => x"07810113",
    00000167 => x"30200073",
    00000168 => x"00008067",
    00000169 => x"ff010113",
    00000170 => x"00112623",
    00000171 => x"00812423",
    00000172 => x"6cc000ef",
    00000173 => x"04050663",
    00000174 => x"2c8000ef",
    00000175 => x"00005537",
    00000176 => x"00000613",
    00000177 => x"00000593",
    00000178 => x"b0050513",
    00000179 => x"408000ef",
    00000180 => x"00001537",
    00000181 => x"adc50513",
    00000182 => x"498000ef",
    00000183 => x"00000513",
    00000184 => x"6ac000ef",
    00000185 => x"00000413",
    00000186 => x"0ff47513",
    00000187 => x"6a0000ef",
    00000188 => x"0c800513",
    00000189 => x"6d0000ef",
    00000190 => x"00140413",
    00000191 => x"fedff06f",
    00000192 => x"00c12083",
    00000193 => x"00812403",
    00000194 => x"01010113",
    00000195 => x"00008067",
    00000196 => x"00001537",
    00000197 => x"ff010113",
    00000198 => x"af850513",
    00000199 => x"00112623",
    00000200 => x"00812423",
    00000201 => x"00912223",
    00000202 => x"01212023",
    00000203 => x"49c000ef",
    00000204 => x"c81025f3",
    00000205 => x"c0102673",
    00000206 => x"00001537",
    00000207 => x"b2050513",
    00000208 => x"488000ef",
    00000209 => x"34202473",
    00000210 => x"34102973",
    00000211 => x"34a024f3",
    00000212 => x"04045663",
    00000213 => x"00001537",
    00000214 => x"b3850513",
    00000215 => x"46c000ef",
    00000216 => x"00001537",
    00000217 => x"00090593",
    00000218 => x"b5050513",
    00000219 => x"45c000ef",
    00000220 => x"00001537",
    00000221 => x"b7050513",
    00000222 => x"450000ef",
    00000223 => x"00b00793",
    00000224 => x"0487e063",
    00000225 => x"00001737",
    00000226 => x"00241793",
    00000227 => x"d7c70713",
    00000228 => x"00e787b3",
    00000229 => x"0007a783",
    00000230 => x"00078067",
    00000231 => x"00001537",
    00000232 => x"b4450513",
    00000233 => x"424000ef",
    00000234 => x"0024f793",
    00000235 => x"00079663",
    00000236 => x"ffc90913",
    00000237 => x"fadff06f",
    00000238 => x"ffe90913",
    00000239 => x"fa5ff06f",
    00000240 => x"800007b7",
    00000241 => x"00778713",
    00000242 => x"10e40e63",
    00000243 => x"00b78713",
    00000244 => x"12e40063",
    00000245 => x"00378793",
    00000246 => x"10f40063",
    00000247 => x"00001537",
    00000248 => x"00040593",
    00000249 => x"cd450513",
    00000250 => x"3e0000ef",
    00000251 => x"0100006f",
    00000252 => x"00001537",
    00000253 => x"b7850513",
    00000254 => x"3d0000ef",
    00000255 => x"00001537",
    00000256 => x"d6850513",
    00000257 => x"0240006f",
    00000258 => x"00001537",
    00000259 => x"b9850513",
    00000260 => x"fe9ff06f",
    00000261 => x"00001537",
    00000262 => x"bb450513",
    00000263 => x"3ac000ef",
    00000264 => x"00001537",
    00000265 => x"bc850513",
    00000266 => x"3a0000ef",
    00000267 => x"343025f3",
    00000268 => x"00001537",
    00000269 => x"ce450513",
    00000270 => x"390000ef",
    00000271 => x"00001537",
    00000272 => x"00048593",
    00000273 => x"cec50513",
    00000274 => x"0024f493",
    00000275 => x"37c000ef",
    00000276 => x"00049863",
    00000277 => x"00001537",
    00000278 => x"d0850513",
    00000279 => x"36c000ef",
    00000280 => x"341025f3",
    00000281 => x"00001537",
    00000282 => x"d1850513",
    00000283 => x"35c000ef",
    00000284 => x"00812403",
    00000285 => x"00c12083",
    00000286 => x"00412483",
    00000287 => x"00012903",
    00000288 => x"00001537",
    00000289 => x"d4050513",
    00000290 => x"01010113",
    00000291 => x"33c0006f",
    00000292 => x"00001537",
    00000293 => x"be050513",
    00000294 => x"f61ff06f",
    00000295 => x"00001537",
    00000296 => x"bf450513",
    00000297 => x"f55ff06f",
    00000298 => x"00001537",
    00000299 => x"c0c50513",
    00000300 => x"f49ff06f",
    00000301 => x"00001537",
    00000302 => x"c2050513",
    00000303 => x"f3dff06f",
    00000304 => x"00001537",
    00000305 => x"c3c50513",
    00000306 => x"f31ff06f",
    00000307 => x"00001537",
    00000308 => x"c5050513",
    00000309 => x"f25ff06f",
    00000310 => x"00001537",
    00000311 => x"c6c50513",
    00000312 => x"f19ff06f",
    00000313 => x"00001537",
    00000314 => x"c8850513",
    00000315 => x"f0dff06f",
    00000316 => x"00001537",
    00000317 => x"cac50513",
    00000318 => x"f01ff06f",
    00000319 => x"ff010113",
    00000320 => x"00812423",
    00000321 => x"00912223",
    00000322 => x"00112623",
    00000323 => x"00700793",
    00000324 => x"00050413",
    00000325 => x"00058493",
    00000326 => x"02a7fc63",
    00000327 => x"00b00793",
    00000328 => x"02f50863",
    00000329 => x"01300793",
    00000330 => x"02f50063",
    00000331 => x"01700793",
    00000332 => x"04f50463",
    00000333 => x"01b00793",
    00000334 => x"00100513",
    00000335 => x"02f41463",
    00000336 => x"00b00513",
    00000337 => x"0080006f",
    00000338 => x"00300513",
    00000339 => x"448000ef",
    00000340 => x"fc502573",
    00000341 => x"00241413",
    00000342 => x"00a40433",
    00000343 => x"00942023",
    00000344 => x"00000513",
    00000345 => x"00c12083",
    00000346 => x"00812403",
    00000347 => x"00412483",
    00000348 => x"01010113",
    00000349 => x"00008067",
    00000350 => x"00700513",
    00000351 => x"fd1ff06f",
    00000352 => x"ff010113",
    00000353 => x"00812423",
    00000354 => x"00912223",
    00000355 => x"01212023",
    00000356 => x"00112623",
    00000357 => x"00000413",
    00000358 => x"02000493",
    00000359 => x"00040513",
    00000360 => x"00140413",
    00000361 => x"31000593",
    00000362 => x"0ff47413",
    00000363 => x"f51ff0ef",
    00000364 => x"fe9416e3",
    00000365 => x"00c12083",
    00000366 => x"00812403",
    00000367 => x"00412483",
    00000368 => x"00012903",
    00000369 => x"01010113",
    00000370 => x"00008067",
    00000371 => x"fd010113",
    00000372 => x"02812423",
    00000373 => x"02912223",
    00000374 => x"03212023",
    00000375 => x"01312e23",
    00000376 => x"01412c23",
    00000377 => x"02112623",
    00000378 => x"01512a23",
    00000379 => x"00001a37",
    00000380 => x"00050493",
    00000381 => x"00058413",
    00000382 => x"00058523",
    00000383 => x"00000993",
    00000384 => x"00410913",
    00000385 => x"daca0a13",
    00000386 => x"00a00593",
    00000387 => x"00048513",
    00000388 => x"468000ef",
    00000389 => x"00aa0533",
    00000390 => x"00054783",
    00000391 => x"01390ab3",
    00000392 => x"00048513",
    00000393 => x"00fa8023",
    00000394 => x"00a00593",
    00000395 => x"404000ef",
    00000396 => x"00198993",
    00000397 => x"00a00793",
    00000398 => x"00050493",
    00000399 => x"fcf996e3",
    00000400 => x"00090693",
    00000401 => x"00900713",
    00000402 => x"03000613",
    00000403 => x"0096c583",
    00000404 => x"00070793",
    00000405 => x"fff70713",
    00000406 => x"01071713",
    00000407 => x"01075713",
    00000408 => x"00c59a63",
    00000409 => x"000684a3",
    00000410 => x"fff68693",
    00000411 => x"fe0710e3",
    00000412 => x"00000793",
    00000413 => x"00f907b3",
    00000414 => x"00000593",
    00000415 => x"0007c703",
    00000416 => x"00070c63",
    00000417 => x"00158693",
    00000418 => x"00b405b3",
    00000419 => x"00e58023",
    00000420 => x"01069593",
    00000421 => x"0105d593",
    00000422 => x"fff78713",
    00000423 => x"02f91863",
    00000424 => x"00b40433",
    00000425 => x"00040023",
    00000426 => x"02c12083",
    00000427 => x"02812403",
    00000428 => x"02412483",
    00000429 => x"02012903",
    00000430 => x"01c12983",
    00000431 => x"01812a03",
    00000432 => x"01412a83",
    00000433 => x"03010113",
    00000434 => x"00008067",
    00000435 => x"00070793",
    00000436 => x"fadff06f",
    00000437 => x"fa002023",
    00000438 => x"fc1026f3",
    00000439 => x"00000713",
    00000440 => x"00151513",
    00000441 => x"04a6f263",
    00000442 => x"000016b7",
    00000443 => x"00000793",
    00000444 => x"ffe68693",
    00000445 => x"04e6e463",
    00000446 => x"00167613",
    00000447 => x"0015f593",
    00000448 => x"01879793",
    00000449 => x"01e61613",
    00000450 => x"00c7e7b3",
    00000451 => x"01d59593",
    00000452 => x"00b7e7b3",
    00000453 => x"00e7e7b3",
    00000454 => x"10000737",
    00000455 => x"00e7e7b3",
    00000456 => x"faf02023",
    00000457 => x"00008067",
    00000458 => x"00170793",
    00000459 => x"01079713",
    00000460 => x"40a686b3",
    00000461 => x"01075713",
    00000462 => x"fadff06f",
    00000463 => x"ffe78513",
    00000464 => x"0fd57513",
    00000465 => x"00051a63",
    00000466 => x"00375713",
    00000467 => x"00178793",
    00000468 => x"0ff7f793",
    00000469 => x"fa1ff06f",
    00000470 => x"00175713",
    00000471 => x"ff1ff06f",
    00000472 => x"fa002783",
    00000473 => x"fe07cee3",
    00000474 => x"faa02223",
    00000475 => x"00008067",
    00000476 => x"ff010113",
    00000477 => x"00812423",
    00000478 => x"01212023",
    00000479 => x"00112623",
    00000480 => x"00912223",
    00000481 => x"00050413",
    00000482 => x"00a00913",
    00000483 => x"00044483",
    00000484 => x"00140413",
    00000485 => x"00049e63",
    00000486 => x"00c12083",
    00000487 => x"00812403",
    00000488 => x"00412483",
    00000489 => x"00012903",
    00000490 => x"01010113",
    00000491 => x"00008067",
    00000492 => x"01249663",
    00000493 => x"00d00513",
    00000494 => x"fa9ff0ef",
    00000495 => x"00048513",
    00000496 => x"fa1ff0ef",
    00000497 => x"fc9ff06f",
    00000498 => x"fa010113",
    00000499 => x"02912a23",
    00000500 => x"04f12a23",
    00000501 => x"000014b7",
    00000502 => x"04410793",
    00000503 => x"02812c23",
    00000504 => x"03212823",
    00000505 => x"03412423",
    00000506 => x"03512223",
    00000507 => x"03612023",
    00000508 => x"01712e23",
    00000509 => x"02112e23",
    00000510 => x"03312623",
    00000511 => x"01812c23",
    00000512 => x"00050413",
    00000513 => x"04b12223",
    00000514 => x"04c12423",
    00000515 => x"04d12623",
    00000516 => x"04e12823",
    00000517 => x"05012c23",
    00000518 => x"05112e23",
    00000519 => x"00f12023",
    00000520 => x"02500a13",
    00000521 => x"00a00a93",
    00000522 => x"07300913",
    00000523 => x"07500b13",
    00000524 => x"07800b93",
    00000525 => x"db848493",
    00000526 => x"00044c03",
    00000527 => x"020c0463",
    00000528 => x"134c1263",
    00000529 => x"00144783",
    00000530 => x"00240993",
    00000531 => x"09278c63",
    00000532 => x"04f96263",
    00000533 => x"06300713",
    00000534 => x"0ae78463",
    00000535 => x"06900713",
    00000536 => x"0ae78c63",
    00000537 => x"03c12083",
    00000538 => x"03812403",
    00000539 => x"03412483",
    00000540 => x"03012903",
    00000541 => x"02c12983",
    00000542 => x"02812a03",
    00000543 => x"02412a83",
    00000544 => x"02012b03",
    00000545 => x"01c12b83",
    00000546 => x"01812c03",
    00000547 => x"06010113",
    00000548 => x"00008067",
    00000549 => x"0b678c63",
    00000550 => x"fd7796e3",
    00000551 => x"00012783",
    00000552 => x"00410693",
    00000553 => x"00068513",
    00000554 => x"0007a583",
    00000555 => x"00478713",
    00000556 => x"00e12023",
    00000557 => x"02000613",
    00000558 => x"00000713",
    00000559 => x"00e5d7b3",
    00000560 => x"00f7f793",
    00000561 => x"00f487b3",
    00000562 => x"0007c783",
    00000563 => x"00470713",
    00000564 => x"fff68693",
    00000565 => x"00f68423",
    00000566 => x"fec712e3",
    00000567 => x"00010623",
    00000568 => x"0140006f",
    00000569 => x"00012783",
    00000570 => x"0007a503",
    00000571 => x"00478713",
    00000572 => x"00e12023",
    00000573 => x"e7dff0ef",
    00000574 => x"00098413",
    00000575 => x"f3dff06f",
    00000576 => x"00012783",
    00000577 => x"0007c503",
    00000578 => x"00478713",
    00000579 => x"00e12023",
    00000580 => x"e51ff0ef",
    00000581 => x"fe5ff06f",
    00000582 => x"00012783",
    00000583 => x"0007a403",
    00000584 => x"00478713",
    00000585 => x"00e12023",
    00000586 => x"00045863",
    00000587 => x"02d00513",
    00000588 => x"40800433",
    00000589 => x"e2dff0ef",
    00000590 => x"00410593",
    00000591 => x"00040513",
    00000592 => x"c8dff0ef",
    00000593 => x"00410513",
    00000594 => x"fadff06f",
    00000595 => x"00012783",
    00000596 => x"00410593",
    00000597 => x"00478713",
    00000598 => x"0007a503",
    00000599 => x"00e12023",
    00000600 => x"fe1ff06f",
    00000601 => x"015c1663",
    00000602 => x"00d00513",
    00000603 => x"df5ff0ef",
    00000604 => x"00140993",
    00000605 => x"000c0513",
    00000606 => x"f99ff06f",
    00000607 => x"fc002573",
    00000608 => x"01055513",
    00000609 => x"00157513",
    00000610 => x"00008067",
    00000611 => x"f8a02223",
    00000612 => x"00008067",
    00000613 => x"0fb57693",
    00000614 => x"00300713",
    00000615 => x"00050793",
    00000616 => x"00e68863",
    00000617 => x"00b00713",
    00000618 => x"00100513",
    00000619 => x"00e79a63",
    00000620 => x"00100513",
    00000621 => x"00f517b3",
    00000622 => x"3047a073",
    00000623 => x"00000513",
    00000624 => x"00008067",
    00000625 => x"ff010113",
    00000626 => x"00112623",
    00000627 => x"00050593",
    00000628 => x"fc102573",
    00000629 => x"00f55513",
    00000630 => x"02c000ef",
    00000631 => x"00051863",
    00000632 => x"00c12083",
    00000633 => x"01010113",
    00000634 => x"00008067",
    00000635 => x"00000013",
    00000636 => x"00000013",
    00000637 => x"00000013",
    00000638 => x"00000013",
    00000639 => x"fff50513",
    00000640 => x"fddff06f",
    00000641 => x"00050613",
    00000642 => x"00000513",
    00000643 => x"0015f693",
    00000644 => x"00068463",
    00000645 => x"00c50533",
    00000646 => x"0015d593",
    00000647 => x"00161613",
    00000648 => x"fe0596e3",
    00000649 => x"00008067",
    00000650 => x"06054063",
    00000651 => x"0605c663",
    00000652 => x"00058613",
    00000653 => x"00050593",
    00000654 => x"fff00513",
    00000655 => x"02060c63",
    00000656 => x"00100693",
    00000657 => x"00b67a63",
    00000658 => x"00c05863",
    00000659 => x"00161613",
    00000660 => x"00169693",
    00000661 => x"feb66ae3",
    00000662 => x"00000513",
    00000663 => x"00c5e663",
    00000664 => x"40c585b3",
    00000665 => x"00d56533",
    00000666 => x"0016d693",
    00000667 => x"00165613",
    00000668 => x"fe0696e3",
    00000669 => x"00008067",
    00000670 => x"00008293",
    00000671 => x"fb5ff0ef",
    00000672 => x"00058513",
    00000673 => x"00028067",
    00000674 => x"40a00533",
    00000675 => x"0005d863",
    00000676 => x"40b005b3",
    00000677 => x"f9dff06f",
    00000678 => x"40b005b3",
    00000679 => x"00008293",
    00000680 => x"f91ff0ef",
    00000681 => x"40a00533",
    00000682 => x"00028067",
    00000683 => x"00008293",
    00000684 => x"0005ca63",
    00000685 => x"00054c63",
    00000686 => x"f79ff0ef",
    00000687 => x"00058513",
    00000688 => x"00028067",
    00000689 => x"40b005b3",
    00000690 => x"fe0558e3",
    00000691 => x"40a00533",
    00000692 => x"f61ff0ef",
    00000693 => x"40b00533",
    00000694 => x"00028067",
    00000695 => x"6e696c42",
    00000696 => x"676e696b",
    00000697 => x"44454c20",
    00000698 => x"6d656420",
    00000699 => x"7270206f",
    00000700 => x"6172676f",
    00000701 => x"00000a6d",
    00000702 => x"3c3c0a0a",
    00000703 => x"4f454e20",
    00000704 => x"32335652",
    00000705 => x"6e755220",
    00000706 => x"656d6974",
    00000707 => x"766e4520",
    00000708 => x"6e6f7269",
    00000709 => x"746e656d",
    00000710 => x"0a3e3e20",
    00000711 => x"00000000",
    00000712 => x"74737953",
    00000713 => x"74206d65",
    00000714 => x"3a656d69",
    00000715 => x"25783020",
    00000716 => x"78255f78",
    00000717 => x"0000000a",
    00000718 => x"45544e49",
    00000719 => x"50555252",
    00000720 => x"00000054",
    00000721 => x"45435845",
    00000722 => x"4f495450",
    00000723 => x"0000004e",
    00000724 => x"20746120",
    00000725 => x"74736e69",
    00000726 => x"74637572",
    00000727 => x"206e6f69",
    00000728 => x"72646461",
    00000729 => x"3a737365",
    00000730 => x"25783020",
    00000731 => x"00000a78",
    00000732 => x"73756143",
    00000733 => x"00203a65",
    00000734 => x"74736e49",
    00000735 => x"74637572",
    00000736 => x"206e6f69",
    00000737 => x"72646461",
    00000738 => x"20737365",
    00000739 => x"6173696d",
    00000740 => x"6e67696c",
    00000741 => x"00006465",
    00000742 => x"74736e49",
    00000743 => x"74637572",
    00000744 => x"206e6f69",
    00000745 => x"65636361",
    00000746 => x"66207373",
    00000747 => x"746c7561",
    00000748 => x"00000000",
    00000749 => x"656c6c49",
    00000750 => x"206c6167",
    00000751 => x"74736e69",
    00000752 => x"74637572",
    00000753 => x"006e6f69",
    00000754 => x"7561460a",
    00000755 => x"6e69746c",
    00000756 => x"6e692067",
    00000757 => x"75727473",
    00000758 => x"6f697463",
    00000759 => x"0000006e",
    00000760 => x"61657242",
    00000761 => x"696f706b",
    00000762 => x"2820746e",
    00000763 => x"45524245",
    00000764 => x"00294b41",
    00000765 => x"64616f4c",
    00000766 => x"64646120",
    00000767 => x"73736572",
    00000768 => x"73696d20",
    00000769 => x"67696c61",
    00000770 => x"0064656e",
    00000771 => x"64616f4c",
    00000772 => x"63636120",
    00000773 => x"20737365",
    00000774 => x"6c756166",
    00000775 => x"00000074",
    00000776 => x"726f7453",
    00000777 => x"64612065",
    00000778 => x"73657264",
    00000779 => x"696d2073",
    00000780 => x"696c6173",
    00000781 => x"64656e67",
    00000782 => x"00000000",
    00000783 => x"726f7453",
    00000784 => x"63612065",
    00000785 => x"73736563",
    00000786 => x"75616620",
    00000787 => x"0000746c",
    00000788 => x"69766e45",
    00000789 => x"6d6e6f72",
    00000790 => x"20746e65",
    00000791 => x"6c6c6163",
    00000792 => x"43452820",
    00000793 => x"294c4c41",
    00000794 => x"00000000",
    00000795 => x"6863614d",
    00000796 => x"20656e69",
    00000797 => x"74666f73",
    00000798 => x"65726177",
    00000799 => x"746e6920",
    00000800 => x"75727265",
    00000801 => x"00007470",
    00000802 => x"6863614d",
    00000803 => x"20656e69",
    00000804 => x"656d6974",
    00000805 => x"6e692072",
    00000806 => x"72726574",
    00000807 => x"20747075",
    00000808 => x"61697628",
    00000809 => x"49544d20",
    00000810 => x"0029454d",
    00000811 => x"6863614d",
    00000812 => x"20656e69",
    00000813 => x"65747865",
    00000814 => x"6c616e72",
    00000815 => x"746e6920",
    00000816 => x"75727265",
    00000817 => x"28207470",
    00000818 => x"20616976",
    00000819 => x"43494c43",
    00000820 => x"00000029",
    00000821 => x"6e6b6e55",
    00000822 => x"206e776f",
    00000823 => x"25783028",
    00000824 => x"00002978",
    00000825 => x"7830203a",
    00000826 => x"000a7825",
    00000827 => x"6e617254",
    00000828 => x"202e6673",
    00000829 => x"74736e69",
    00000830 => x"74637572",
    00000831 => x"3a6e6f69",
    00000832 => x"25783020",
    00000833 => x"00002078",
    00000834 => x"63656428",
    00000835 => x"72706d6f",
    00000836 => x"65737365",
    00000837 => x"000a2964",
    00000838 => x"69797254",
    00000839 => x"7420676e",
    00000840 => x"6572206f",
    00000841 => x"656d7573",
    00000842 => x"70706120",
    00000843 => x"6163696c",
    00000844 => x"6e6f6974",
    00000845 => x"30204020",
    00000846 => x"2e782578",
    00000847 => x"00002e2e",
    00000848 => x"2f3c3c0a",
    00000849 => x"524f454e",
    00000850 => x"20323356",
    00000851 => x"746e7552",
    00000852 => x"20656d69",
    00000853 => x"69766e45",
    00000854 => x"6d6e6f72",
    00000855 => x"20746e65",
    00000856 => x"0a0a3e3e",
    00000857 => x"00000000",
    00000858 => x"7561460a",
    00000859 => x"6e69746c",
    00000860 => x"64612067",
    00000861 => x"73657264",
    00000862 => x"00000073",
    00000863 => x"000003f0",
    00000864 => x"00000408",
    00000865 => x"00000414",
    00000866 => x"00000490",
    00000867 => x"0000049c",
    00000868 => x"000004a8",
    00000869 => x"000004b4",
    00000870 => x"000004c0",
    00000871 => x"000003dc",
    00000872 => x"000003dc",
    00000873 => x"000003dc",
    00000874 => x"000004cc",
    00000875 => x"33323130",
    00000876 => x"37363534",
    00000877 => x"00003938",
    00000878 => x"33323130",
    00000879 => x"37363534",
    00000880 => x"62613938",
    00000881 => x"66656463",
    others   => x"00000000"
  );
 
end neorv32_application_image;
 

Go to most recent revision | Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.