OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_bootloader_image.vhd] - Rev 65

Go to most recent revision | Compare with Previous | Blame | View Log

-- The NEORV32 RISC-V Processor, https://github.com/stnolting/neorv32
-- Auto-generated memory init file (for BOOTLOADER) from source file <bootloader/main.bin>
-- Size: 4088 bytes
 
library ieee;
use ieee.std_logic_1164.all;
 
library neorv32;
use neorv32.neorv32_package.all;
 
package neorv32_bootloader_image is
 
  constant bootloader_init_image : mem32_t := (
    00000000 => x"00000037",
    00000001 => x"80010117",
    00000002 => x"1f810113",
    00000003 => x"80010197",
    00000004 => x"7f418193",
    00000005 => x"00000517",
    00000006 => x"0d450513",
    00000007 => x"30551073",
    00000008 => x"34151073",
    00000009 => x"30001073",
    00000010 => x"30401073",
    00000011 => x"30601073",
    00000012 => x"ffa00593",
    00000013 => x"32059073",
    00000014 => x"b0001073",
    00000015 => x"b8001073",
    00000016 => x"b0201073",
    00000017 => x"b8201073",
    00000018 => x"00000093",
    00000019 => x"00000213",
    00000020 => x"00000293",
    00000021 => x"00000313",
    00000022 => x"00000393",
    00000023 => x"00000713",
    00000024 => x"00000793",
    00000025 => x"00010417",
    00000026 => x"d9c40413",
    00000027 => x"00010497",
    00000028 => x"f9448493",
    00000029 => x"00042023",
    00000030 => x"00440413",
    00000031 => x"fe941ce3",
    00000032 => x"80010597",
    00000033 => x"f8058593",
    00000034 => x"80818613",
    00000035 => x"00c5d863",
    00000036 => x"00058023",
    00000037 => x"00158593",
    00000038 => x"ff5ff06f",
    00000039 => x"00001597",
    00000040 => x"f5c58593",
    00000041 => x"80010617",
    00000042 => x"f5c60613",
    00000043 => x"80010697",
    00000044 => x"f5468693",
    00000045 => x"00d65c63",
    00000046 => x"00058703",
    00000047 => x"00e60023",
    00000048 => x"00158593",
    00000049 => x"00160613",
    00000050 => x"fedff06f",
    00000051 => x"00000513",
    00000052 => x"00000593",
    00000053 => x"060000ef",
    00000054 => x"34051073",
    00000055 => x"30047073",
    00000056 => x"10500073",
    00000057 => x"ffdff06f",
    00000058 => x"ff810113",
    00000059 => x"00812023",
    00000060 => x"00912223",
    00000061 => x"34202473",
    00000062 => x"02044663",
    00000063 => x"34102473",
    00000064 => x"00041483",
    00000065 => x"0034f493",
    00000066 => x"00240413",
    00000067 => x"34141073",
    00000068 => x"00300413",
    00000069 => x"00941863",
    00000070 => x"34102473",
    00000071 => x"00240413",
    00000072 => x"34141073",
    00000073 => x"00012403",
    00000074 => x"00412483",
    00000075 => x"00810113",
    00000076 => x"30200073",
    00000077 => x"fd010113",
    00000078 => x"02912223",
    00000079 => x"800004b7",
    00000080 => x"00048793",
    00000081 => x"02112623",
    00000082 => x"02812423",
    00000083 => x"03212023",
    00000084 => x"01312e23",
    00000085 => x"01412c23",
    00000086 => x"01512a23",
    00000087 => x"01612823",
    00000088 => x"01712623",
    00000089 => x"01812423",
    00000090 => x"01912223",
    00000091 => x"0007a023",
    00000092 => x"8001a223",
    00000093 => x"ffff07b7",
    00000094 => x"4bc78793",
    00000095 => x"30579073",
    00000096 => x"00000693",
    00000097 => x"00000613",
    00000098 => x"00000593",
    00000099 => x"00200513",
    00000100 => x"399000ef",
    00000101 => x"42d000ef",
    00000102 => x"00048493",
    00000103 => x"00050863",
    00000104 => x"00100513",
    00000105 => x"00000593",
    00000106 => x"459000ef",
    00000107 => x"00005537",
    00000108 => x"00000613",
    00000109 => x"00000593",
    00000110 => x"b0050513",
    00000111 => x"1f9000ef",
    00000112 => x"1bd000ef",
    00000113 => x"02050a63",
    00000114 => x"325000ef",
    00000115 => x"fe002783",
    00000116 => x"0027d793",
    00000117 => x"00a78533",
    00000118 => x"00f537b3",
    00000119 => x"00b785b3",
    00000120 => x"1ad000ef",
    00000121 => x"08000793",
    00000122 => x"30479073",
    00000123 => x"30046073",
    00000124 => x"00000013",
    00000125 => x"00000013",
    00000126 => x"ffff1537",
    00000127 => x"f2850513",
    00000128 => x"295000ef",
    00000129 => x"f1302573",
    00000130 => x"244000ef",
    00000131 => x"ffff1537",
    00000132 => x"f6050513",
    00000133 => x"281000ef",
    00000134 => x"fe002503",
    00000135 => x"230000ef",
    00000136 => x"ffff1537",
    00000137 => x"f6850513",
    00000138 => x"26d000ef",
    00000139 => x"30102573",
    00000140 => x"21c000ef",
    00000141 => x"ffff1537",
    00000142 => x"f7050513",
    00000143 => x"259000ef",
    00000144 => x"fe402503",
    00000145 => x"ffff1437",
    00000146 => x"204000ef",
    00000147 => x"ffff1537",
    00000148 => x"f7850513",
    00000149 => x"241000ef",
    00000150 => x"fe802503",
    00000151 => x"1f0000ef",
    00000152 => x"ffff1537",
    00000153 => x"f8050513",
    00000154 => x"22d000ef",
    00000155 => x"ff802503",
    00000156 => x"1dc000ef",
    00000157 => x"f8840513",
    00000158 => x"21d000ef",
    00000159 => x"ff002503",
    00000160 => x"1cc000ef",
    00000161 => x"ffff1537",
    00000162 => x"f9450513",
    00000163 => x"209000ef",
    00000164 => x"ffc02503",
    00000165 => x"1b8000ef",
    00000166 => x"f8840513",
    00000167 => x"1f9000ef",
    00000168 => x"ff402503",
    00000169 => x"1a8000ef",
    00000170 => x"0d5000ef",
    00000171 => x"06050663",
    00000172 => x"ffff1537",
    00000173 => x"f9c50513",
    00000174 => x"1dd000ef",
    00000175 => x"231000ef",
    00000176 => x"fe002403",
    00000177 => x"00341413",
    00000178 => x"00a40933",
    00000179 => x"00893433",
    00000180 => x"00b40433",
    00000181 => x"0d1000ef",
    00000182 => x"02051663",
    00000183 => x"211000ef",
    00000184 => x"fe85eae3",
    00000185 => x"00b41463",
    00000186 => x"ff2566e3",
    00000187 => x"00100513",
    00000188 => x"4d4000ef",
    00000189 => x"ffff1537",
    00000190 => x"fc450513",
    00000191 => x"199000ef",
    00000192 => x"0cc000ef",
    00000193 => x"185000ef",
    00000194 => x"fc050ae3",
    00000195 => x"ffff1537",
    00000196 => x"fc850513",
    00000197 => x"181000ef",
    00000198 => x"0a8000ef",
    00000199 => x"ffff19b7",
    00000200 => x"ffff1a37",
    00000201 => x"07200a93",
    00000202 => x"06800b13",
    00000203 => x"07500b93",
    00000204 => x"07300c13",
    00000205 => x"ffff1937",
    00000206 => x"ffff1cb7",
    00000207 => x"fd498513",
    00000208 => x"155000ef",
    00000209 => x"135000ef",
    00000210 => x"00050413",
    00000211 => x"0f9000ef",
    00000212 => x"fc4a0513",
    00000213 => x"141000ef",
    00000214 => x"01541863",
    00000215 => x"ffff02b7",
    00000216 => x"00028067",
    00000217 => x"fd9ff06f",
    00000218 => x"01641663",
    00000219 => x"054000ef",
    00000220 => x"fcdff06f",
    00000221 => x"00000513",
    00000222 => x"01740e63",
    00000223 => x"01841663",
    00000224 => x"680000ef",
    00000225 => x"fb9ff06f",
    00000226 => x"06c00793",
    00000227 => x"00f41863",
    00000228 => x"00100513",
    00000229 => x"430000ef",
    00000230 => x"fa5ff06f",
    00000231 => x"06500793",
    00000232 => x"00f41c63",
    00000233 => x"0004a783",
    00000234 => x"f4079ce3",
    00000235 => x"ed0c8513",
    00000236 => x"0e5000ef",
    00000237 => x"f89ff06f",
    00000238 => x"fdc90513",
    00000239 => x"ff5ff06f",
    00000240 => x"ffff1537",
    00000241 => x"e1050513",
    00000242 => x"0cd0006f",
    00000243 => x"ff010113",
    00000244 => x"00112623",
    00000245 => x"30047073",
    00000246 => x"00000013",
    00000247 => x"00000013",
    00000248 => x"ffff1537",
    00000249 => x"e7450513",
    00000250 => x"0ad000ef",
    00000251 => x"071000ef",
    00000252 => x"fe051ee3",
    00000253 => x"ff002783",
    00000254 => x"00078067",
    00000255 => x"0000006f",
    00000256 => x"ff010113",
    00000257 => x"00812423",
    00000258 => x"00050413",
    00000259 => x"ffff1537",
    00000260 => x"e8450513",
    00000261 => x"00112623",
    00000262 => x"07d000ef",
    00000263 => x"03040513",
    00000264 => x"0ff57513",
    00000265 => x"021000ef",
    00000266 => x"30047073",
    00000267 => x"00000013",
    00000268 => x"00000013",
    00000269 => x"18d000ef",
    00000270 => x"00050863",
    00000271 => x"00100513",
    00000272 => x"00000593",
    00000273 => x"1bd000ef",
    00000274 => x"0000006f",
    00000275 => x"fe010113",
    00000276 => x"01212823",
    00000277 => x"00050913",
    00000278 => x"ffff1537",
    00000279 => x"00912a23",
    00000280 => x"e9050513",
    00000281 => x"ffff14b7",
    00000282 => x"00812c23",
    00000283 => x"01312623",
    00000284 => x"00112e23",
    00000285 => x"01c00413",
    00000286 => x"01d000ef",
    00000287 => x"fe848493",
    00000288 => x"ffc00993",
    00000289 => x"008957b3",
    00000290 => x"00f7f793",
    00000291 => x"00f487b3",
    00000292 => x"0007c503",
    00000293 => x"ffc40413",
    00000294 => x"7ac000ef",
    00000295 => x"ff3414e3",
    00000296 => x"01c12083",
    00000297 => x"01812403",
    00000298 => x"01412483",
    00000299 => x"01012903",
    00000300 => x"00c12983",
    00000301 => x"02010113",
    00000302 => x"00008067",
    00000303 => x"fb010113",
    00000304 => x"04112623",
    00000305 => x"04512423",
    00000306 => x"04612223",
    00000307 => x"04712023",
    00000308 => x"02812e23",
    00000309 => x"02912c23",
    00000310 => x"02a12a23",
    00000311 => x"02b12823",
    00000312 => x"02c12623",
    00000313 => x"02d12423",
    00000314 => x"02e12223",
    00000315 => x"02f12023",
    00000316 => x"01012e23",
    00000317 => x"01112c23",
    00000318 => x"01c12a23",
    00000319 => x"01d12823",
    00000320 => x"01e12623",
    00000321 => x"01f12423",
    00000322 => x"342024f3",
    00000323 => x"800007b7",
    00000324 => x"00778793",
    00000325 => x"08f49463",
    00000326 => x"0a9000ef",
    00000327 => x"00050663",
    00000328 => x"00000513",
    00000329 => x"0ad000ef",
    00000330 => x"654000ef",
    00000331 => x"02050063",
    00000332 => x"7bc000ef",
    00000333 => x"fe002783",
    00000334 => x"0027d793",
    00000335 => x"00a78533",
    00000336 => x"00f537b3",
    00000337 => x"00b785b3",
    00000338 => x"644000ef",
    00000339 => x"03c12403",
    00000340 => x"04c12083",
    00000341 => x"04812283",
    00000342 => x"04412303",
    00000343 => x"04012383",
    00000344 => x"03812483",
    00000345 => x"03412503",
    00000346 => x"03012583",
    00000347 => x"02c12603",
    00000348 => x"02812683",
    00000349 => x"02412703",
    00000350 => x"02012783",
    00000351 => x"01c12803",
    00000352 => x"01812883",
    00000353 => x"01412e03",
    00000354 => x"01012e83",
    00000355 => x"00c12f03",
    00000356 => x"00812f83",
    00000357 => x"05010113",
    00000358 => x"30200073",
    00000359 => x"00700793",
    00000360 => x"00f49a63",
    00000361 => x"8041a783",
    00000362 => x"00078663",
    00000363 => x"00100513",
    00000364 => x"e51ff0ef",
    00000365 => x"34102473",
    00000366 => x"5ec000ef",
    00000367 => x"04050263",
    00000368 => x"ffff1537",
    00000369 => x"e9450513",
    00000370 => x"6cc000ef",
    00000371 => x"00048513",
    00000372 => x"e7dff0ef",
    00000373 => x"02000513",
    00000374 => x"66c000ef",
    00000375 => x"00040513",
    00000376 => x"e6dff0ef",
    00000377 => x"02000513",
    00000378 => x"65c000ef",
    00000379 => x"34302573",
    00000380 => x"e5dff0ef",
    00000381 => x"ffff1537",
    00000382 => x"e9c50513",
    00000383 => x"698000ef",
    00000384 => x"00440413",
    00000385 => x"34141073",
    00000386 => x"f45ff06f",
    00000387 => x"ff010113",
    00000388 => x"00000513",
    00000389 => x"00112623",
    00000390 => x"00812423",
    00000391 => x"74c000ef",
    00000392 => x"09e00513",
    00000393 => x"788000ef",
    00000394 => x"00000513",
    00000395 => x"780000ef",
    00000396 => x"00050413",
    00000397 => x"00000513",
    00000398 => x"750000ef",
    00000399 => x"00c12083",
    00000400 => x"0ff47513",
    00000401 => x"00812403",
    00000402 => x"01010113",
    00000403 => x"00008067",
    00000404 => x"ff010113",
    00000405 => x"00112623",
    00000406 => x"00812423",
    00000407 => x"00000513",
    00000408 => x"708000ef",
    00000409 => x"00500513",
    00000410 => x"744000ef",
    00000411 => x"00000513",
    00000412 => x"73c000ef",
    00000413 => x"00050413",
    00000414 => x"00147413",
    00000415 => x"00000513",
    00000416 => x"708000ef",
    00000417 => x"fc041ce3",
    00000418 => x"00c12083",
    00000419 => x"00812403",
    00000420 => x"01010113",
    00000421 => x"00008067",
    00000422 => x"ff010113",
    00000423 => x"00000513",
    00000424 => x"00112623",
    00000425 => x"6c4000ef",
    00000426 => x"00600513",
    00000427 => x"700000ef",
    00000428 => x"00c12083",
    00000429 => x"00000513",
    00000430 => x"01010113",
    00000431 => x"6cc0006f",
    00000432 => x"ff010113",
    00000433 => x"00812423",
    00000434 => x"00050413",
    00000435 => x"01055513",
    00000436 => x"0ff57513",
    00000437 => x"00112623",
    00000438 => x"6d4000ef",
    00000439 => x"00845513",
    00000440 => x"0ff57513",
    00000441 => x"6c8000ef",
    00000442 => x"0ff47513",
    00000443 => x"00812403",
    00000444 => x"00c12083",
    00000445 => x"01010113",
    00000446 => x"6b40006f",
    00000447 => x"ff010113",
    00000448 => x"00812423",
    00000449 => x"00050413",
    00000450 => x"00000513",
    00000451 => x"00112623",
    00000452 => x"658000ef",
    00000453 => x"00300513",
    00000454 => x"694000ef",
    00000455 => x"00040513",
    00000456 => x"fa1ff0ef",
    00000457 => x"00000513",
    00000458 => x"684000ef",
    00000459 => x"00050413",
    00000460 => x"00000513",
    00000461 => x"654000ef",
    00000462 => x"00c12083",
    00000463 => x"0ff47513",
    00000464 => x"00812403",
    00000465 => x"01010113",
    00000466 => x"00008067",
    00000467 => x"fd010113",
    00000468 => x"02812423",
    00000469 => x"02912223",
    00000470 => x"03212023",
    00000471 => x"01312e23",
    00000472 => x"01412c23",
    00000473 => x"02112623",
    00000474 => x"00050913",
    00000475 => x"00058993",
    00000476 => x"00c10493",
    00000477 => x"00000413",
    00000478 => x"00400a13",
    00000479 => x"02091e63",
    00000480 => x"4f8000ef",
    00000481 => x"00a48023",
    00000482 => x"00140413",
    00000483 => x"00148493",
    00000484 => x"ff4416e3",
    00000485 => x"02c12083",
    00000486 => x"02812403",
    00000487 => x"00c12503",
    00000488 => x"02412483",
    00000489 => x"02012903",
    00000490 => x"01c12983",
    00000491 => x"01812a03",
    00000492 => x"03010113",
    00000493 => x"00008067",
    00000494 => x"00898533",
    00000495 => x"f41ff0ef",
    00000496 => x"fc5ff06f",
    00000497 => x"fd010113",
    00000498 => x"01412c23",
    00000499 => x"02812423",
    00000500 => x"80418793",
    00000501 => x"02112623",
    00000502 => x"02912223",
    00000503 => x"03212023",
    00000504 => x"01312e23",
    00000505 => x"01512a23",
    00000506 => x"01612823",
    00000507 => x"01712623",
    00000508 => x"01812423",
    00000509 => x"00100713",
    00000510 => x"00e7a023",
    00000511 => x"00050413",
    00000512 => x"80418a13",
    00000513 => x"02051863",
    00000514 => x"ffff1537",
    00000515 => x"ea050513",
    00000516 => x"484000ef",
    00000517 => x"080005b7",
    00000518 => x"00040513",
    00000519 => x"f31ff0ef",
    00000520 => x"4788d7b7",
    00000521 => x"afe78793",
    00000522 => x"02f50a63",
    00000523 => x"00000513",
    00000524 => x"01c0006f",
    00000525 => x"ffff1537",
    00000526 => x"ec050513",
    00000527 => x"458000ef",
    00000528 => x"4d8000ef",
    00000529 => x"00051663",
    00000530 => x"00300513",
    00000531 => x"bb5ff0ef",
    00000532 => x"dbdff0ef",
    00000533 => x"fc0510e3",
    00000534 => x"ff1ff06f",
    00000535 => x"080009b7",
    00000536 => x"00498593",
    00000537 => x"00040513",
    00000538 => x"ee5ff0ef",
    00000539 => x"00050a93",
    00000540 => x"00898593",
    00000541 => x"00040513",
    00000542 => x"ed5ff0ef",
    00000543 => x"ff002c03",
    00000544 => x"00050b13",
    00000545 => x"ffcafb93",
    00000546 => x"00000913",
    00000547 => x"00000493",
    00000548 => x"00c98993",
    00000549 => x"013905b3",
    00000550 => x"052b9c63",
    00000551 => x"016484b3",
    00000552 => x"00200513",
    00000553 => x"fa0494e3",
    00000554 => x"ffff1537",
    00000555 => x"ecc50513",
    00000556 => x"3e4000ef",
    00000557 => x"02c12083",
    00000558 => x"02812403",
    00000559 => x"800007b7",
    00000560 => x"0157a023",
    00000561 => x"000a2023",
    00000562 => x"02412483",
    00000563 => x"02012903",
    00000564 => x"01c12983",
    00000565 => x"01812a03",
    00000566 => x"01412a83",
    00000567 => x"01012b03",
    00000568 => x"00c12b83",
    00000569 => x"00812c03",
    00000570 => x"03010113",
    00000571 => x"00008067",
    00000572 => x"00040513",
    00000573 => x"e59ff0ef",
    00000574 => x"012c07b3",
    00000575 => x"00a484b3",
    00000576 => x"00a7a023",
    00000577 => x"00490913",
    00000578 => x"f8dff06f",
    00000579 => x"ff010113",
    00000580 => x"00112623",
    00000581 => x"00812423",
    00000582 => x"00912223",
    00000583 => x"00058413",
    00000584 => x"00050493",
    00000585 => x"d75ff0ef",
    00000586 => x"00000513",
    00000587 => x"43c000ef",
    00000588 => x"00200513",
    00000589 => x"478000ef",
    00000590 => x"00048513",
    00000591 => x"d85ff0ef",
    00000592 => x"00040513",
    00000593 => x"468000ef",
    00000594 => x"00000513",
    00000595 => x"43c000ef",
    00000596 => x"00812403",
    00000597 => x"00c12083",
    00000598 => x"00412483",
    00000599 => x"01010113",
    00000600 => x"cf1ff06f",
    00000601 => x"fe010113",
    00000602 => x"00812c23",
    00000603 => x"00912a23",
    00000604 => x"01212823",
    00000605 => x"00112e23",
    00000606 => x"00050493",
    00000607 => x"00b12623",
    00000608 => x"00000413",
    00000609 => x"00400913",
    00000610 => x"00c10793",
    00000611 => x"008787b3",
    00000612 => x"0007c583",
    00000613 => x"00848533",
    00000614 => x"00140413",
    00000615 => x"f71ff0ef",
    00000616 => x"ff2414e3",
    00000617 => x"01c12083",
    00000618 => x"01812403",
    00000619 => x"01412483",
    00000620 => x"01012903",
    00000621 => x"02010113",
    00000622 => x"00008067",
    00000623 => x"ff010113",
    00000624 => x"00112623",
    00000625 => x"00812423",
    00000626 => x"00050413",
    00000627 => x"ccdff0ef",
    00000628 => x"00000513",
    00000629 => x"394000ef",
    00000630 => x"0d800513",
    00000631 => x"3d0000ef",
    00000632 => x"00040513",
    00000633 => x"cddff0ef",
    00000634 => x"00000513",
    00000635 => x"39c000ef",
    00000636 => x"00812403",
    00000637 => x"00c12083",
    00000638 => x"01010113",
    00000639 => x"c55ff06f",
    00000640 => x"fe010113",
    00000641 => x"800007b7",
    00000642 => x"00812c23",
    00000643 => x"0007a403",
    00000644 => x"00112e23",
    00000645 => x"00912a23",
    00000646 => x"01212823",
    00000647 => x"01312623",
    00000648 => x"01412423",
    00000649 => x"01512223",
    00000650 => x"02041863",
    00000651 => x"ffff1537",
    00000652 => x"ed050513",
    00000653 => x"01812403",
    00000654 => x"01c12083",
    00000655 => x"01412483",
    00000656 => x"01012903",
    00000657 => x"00c12983",
    00000658 => x"00812a03",
    00000659 => x"00412a83",
    00000660 => x"02010113",
    00000661 => x"2400006f",
    00000662 => x"ffff1537",
    00000663 => x"eec50513",
    00000664 => x"234000ef",
    00000665 => x"00040513",
    00000666 => x"9e5ff0ef",
    00000667 => x"ffff1537",
    00000668 => x"ef450513",
    00000669 => x"220000ef",
    00000670 => x"08000537",
    00000671 => x"9d1ff0ef",
    00000672 => x"ffff1537",
    00000673 => x"f0c50513",
    00000674 => x"20c000ef",
    00000675 => x"1ec000ef",
    00000676 => x"00050493",
    00000677 => x"1b0000ef",
    00000678 => x"07900793",
    00000679 => x"0af49e63",
    00000680 => x"b6dff0ef",
    00000681 => x"00051663",
    00000682 => x"00300513",
    00000683 => x"955ff0ef",
    00000684 => x"ffff1537",
    00000685 => x"f1850513",
    00000686 => x"01045493",
    00000687 => x"1d8000ef",
    00000688 => x"00148493",
    00000689 => x"08000937",
    00000690 => x"fff00993",
    00000691 => x"00010a37",
    00000692 => x"fff48493",
    00000693 => x"07349063",
    00000694 => x"4788d5b7",
    00000695 => x"afe58593",
    00000696 => x"08000537",
    00000697 => x"e81ff0ef",
    00000698 => x"08000537",
    00000699 => x"00040593",
    00000700 => x"00450513",
    00000701 => x"e71ff0ef",
    00000702 => x"ff002a03",
    00000703 => x"080009b7",
    00000704 => x"ffc47413",
    00000705 => x"00000493",
    00000706 => x"00000913",
    00000707 => x"00c98a93",
    00000708 => x"01548533",
    00000709 => x"009a07b3",
    00000710 => x"02849663",
    00000711 => x"00898513",
    00000712 => x"412005b3",
    00000713 => x"e41ff0ef",
    00000714 => x"ffff1537",
    00000715 => x"ecc50513",
    00000716 => x"f05ff06f",
    00000717 => x"00090513",
    00000718 => x"e85ff0ef",
    00000719 => x"01490933",
    00000720 => x"f91ff06f",
    00000721 => x"0007a583",
    00000722 => x"00448493",
    00000723 => x"00b90933",
    00000724 => x"e15ff0ef",
    00000725 => x"fbdff06f",
    00000726 => x"01c12083",
    00000727 => x"01812403",
    00000728 => x"01412483",
    00000729 => x"01012903",
    00000730 => x"00c12983",
    00000731 => x"00812a03",
    00000732 => x"00412a83",
    00000733 => x"02010113",
    00000734 => x"00008067",
    00000735 => x"fe802503",
    00000736 => x"01155513",
    00000737 => x"00157513",
    00000738 => x"00008067",
    00000739 => x"f9000793",
    00000740 => x"fff00713",
    00000741 => x"00e7a423",
    00000742 => x"00b7a623",
    00000743 => x"00a7a423",
    00000744 => x"00008067",
    00000745 => x"fe802503",
    00000746 => x"01255513",
    00000747 => x"00157513",
    00000748 => x"00008067",
    00000749 => x"fa002023",
    00000750 => x"fe002703",
    00000751 => x"00151513",
    00000752 => x"00000793",
    00000753 => x"04a77463",
    00000754 => x"000016b7",
    00000755 => x"00000713",
    00000756 => x"ffe68693",
    00000757 => x"04f6e663",
    00000758 => x"00367613",
    00000759 => x"0035f593",
    00000760 => x"fff78793",
    00000761 => x"01461613",
    00000762 => x"00c7e7b3",
    00000763 => x"01659593",
    00000764 => x"01871713",
    00000765 => x"00b7e7b3",
    00000766 => x"00e7e7b3",
    00000767 => x"10000737",
    00000768 => x"00e7e7b3",
    00000769 => x"faf02023",
    00000770 => x"00008067",
    00000771 => x"00178793",
    00000772 => x"01079793",
    00000773 => x"40a70733",
    00000774 => x"0107d793",
    00000775 => x"fa9ff06f",
    00000776 => x"ffe70513",
    00000777 => x"0fd57513",
    00000778 => x"00051a63",
    00000779 => x"0037d793",
    00000780 => x"00170713",
    00000781 => x"0ff77713",
    00000782 => x"f9dff06f",
    00000783 => x"0017d793",
    00000784 => x"ff1ff06f",
    00000785 => x"00040737",
    00000786 => x"fa002783",
    00000787 => x"00e7f7b3",
    00000788 => x"fe079ce3",
    00000789 => x"faa02223",
    00000790 => x"00008067",
    00000791 => x"fa002783",
    00000792 => x"00100513",
    00000793 => x"0007c863",
    00000794 => x"0107d513",
    00000795 => x"00154513",
    00000796 => x"00157513",
    00000797 => x"00008067",
    00000798 => x"fa402503",
    00000799 => x"fe055ee3",
    00000800 => x"0ff57513",
    00000801 => x"00008067",
    00000802 => x"fa402503",
    00000803 => x"01f55513",
    00000804 => x"00008067",
    00000805 => x"ff010113",
    00000806 => x"00812423",
    00000807 => x"01212023",
    00000808 => x"00112623",
    00000809 => x"00912223",
    00000810 => x"00050413",
    00000811 => x"00a00913",
    00000812 => x"00044483",
    00000813 => x"00140413",
    00000814 => x"00049e63",
    00000815 => x"00c12083",
    00000816 => x"00812403",
    00000817 => x"00412483",
    00000818 => x"00012903",
    00000819 => x"01010113",
    00000820 => x"00008067",
    00000821 => x"01249663",
    00000822 => x"00d00513",
    00000823 => x"f69ff0ef",
    00000824 => x"00048513",
    00000825 => x"f61ff0ef",
    00000826 => x"fc9ff06f",
    00000827 => x"ff010113",
    00000828 => x"c81026f3",
    00000829 => x"c0102773",
    00000830 => x"c81027f3",
    00000831 => x"fed79ae3",
    00000832 => x"00e12023",
    00000833 => x"00f12223",
    00000834 => x"00012503",
    00000835 => x"00412583",
    00000836 => x"01010113",
    00000837 => x"00008067",
    00000838 => x"fe802503",
    00000839 => x"01355513",
    00000840 => x"00157513",
    00000841 => x"00008067",
    00000842 => x"00757513",
    00000843 => x"0036f793",
    00000844 => x"00167613",
    00000845 => x"00a51513",
    00000846 => x"00d79793",
    00000847 => x"0015f593",
    00000848 => x"00f567b3",
    00000849 => x"00f61613",
    00000850 => x"00c7e7b3",
    00000851 => x"00959593",
    00000852 => x"fa800713",
    00000853 => x"00b7e7b3",
    00000854 => x"00072023",
    00000855 => x"1007e793",
    00000856 => x"00f72023",
    00000857 => x"00008067",
    00000858 => x"fa800713",
    00000859 => x"00072683",
    00000860 => x"00757793",
    00000861 => x"00100513",
    00000862 => x"00f51533",
    00000863 => x"00d56533",
    00000864 => x"00a72023",
    00000865 => x"00008067",
    00000866 => x"fa800713",
    00000867 => x"00072683",
    00000868 => x"00757513",
    00000869 => x"00100793",
    00000870 => x"00a797b3",
    00000871 => x"fff7c793",
    00000872 => x"00d7f7b3",
    00000873 => x"00f72023",
    00000874 => x"00008067",
    00000875 => x"faa02623",
    00000876 => x"fa802783",
    00000877 => x"fe07cee3",
    00000878 => x"fac02503",
    00000879 => x"00008067",
    00000880 => x"fe802503",
    00000881 => x"01055513",
    00000882 => x"00157513",
    00000883 => x"00008067",
    00000884 => x"00100793",
    00000885 => x"01f00713",
    00000886 => x"00a797b3",
    00000887 => x"00a74a63",
    00000888 => x"fc802703",
    00000889 => x"00f747b3",
    00000890 => x"fcf02423",
    00000891 => x"00008067",
    00000892 => x"fcc02703",
    00000893 => x"00f747b3",
    00000894 => x"fcf02623",
    00000895 => x"00008067",
    00000896 => x"fc000793",
    00000897 => x"00a7a423",
    00000898 => x"00b7a623",
    00000899 => x"00008067",
    00000900 => x"69617641",
    00000901 => x"6c62616c",
    00000902 => x"4d432065",
    00000903 => x"0a3a7344",
    00000904 => x"203a6820",
    00000905 => x"706c6548",
    00000906 => x"3a72200a",
    00000907 => x"73655220",
    00000908 => x"74726174",
    00000909 => x"3a75200a",
    00000910 => x"6c705520",
    00000911 => x"0a64616f",
    00000912 => x"203a7320",
    00000913 => x"726f7453",
    00000914 => x"6f742065",
    00000915 => x"616c6620",
    00000916 => x"200a6873",
    00000917 => x"4c203a6c",
    00000918 => x"2064616f",
    00000919 => x"6d6f7266",
    00000920 => x"616c6620",
    00000921 => x"200a6873",
    00000922 => x"45203a65",
    00000923 => x"75636578",
    00000924 => x"00006574",
    00000925 => x"746f6f42",
    00000926 => x"2e676e69",
    00000927 => x"0a0a2e2e",
    00000928 => x"00000000",
    00000929 => x"52450a07",
    00000930 => x"5f524f52",
    00000931 => x"00000000",
    00000932 => x"00007830",
    00000933 => x"58455b0a",
    00000934 => x"00002043",
    00000935 => x"00000a5d",
    00000936 => x"69617741",
    00000937 => x"676e6974",
    00000938 => x"6f656e20",
    00000939 => x"32337672",
    00000940 => x"6578655f",
    00000941 => x"6e69622e",
    00000942 => x"202e2e2e",
    00000943 => x"00000000",
    00000944 => x"64616f4c",
    00000945 => x"2e676e69",
    00000946 => x"00202e2e",
    00000947 => x"00004b4f",
    00000948 => x"65206f4e",
    00000949 => x"75636578",
    00000950 => x"6c626174",
    00000951 => x"76612065",
    00000952 => x"616c6961",
    00000953 => x"2e656c62",
    00000954 => x"00000000",
    00000955 => x"74697257",
    00000956 => x"00002065",
    00000957 => x"74796220",
    00000958 => x"74207365",
    00000959 => x"5053206f",
    00000960 => x"6c662049",
    00000961 => x"20687361",
    00000962 => x"00002040",
    00000963 => x"7928203f",
    00000964 => x"20296e2f",
    00000965 => x"00000000",
    00000966 => x"616c460a",
    00000967 => x"6e696873",
    00000968 => x"2e2e2e67",
    00000969 => x"00000020",
    00000970 => x"3c0a0a0a",
    00000971 => x"454e203c",
    00000972 => x"3356524f",
    00000973 => x"6f422032",
    00000974 => x"6f6c746f",
    00000975 => x"72656461",
    00000976 => x"0a3e3e20",
    00000977 => x"444c420a",
    00000978 => x"4f203a56",
    00000979 => x"31207463",
    00000980 => x"30322037",
    00000981 => x"480a3132",
    00000982 => x"203a5657",
    00000983 => x"00000020",
    00000984 => x"4b4c430a",
    00000985 => x"0020203a",
    00000986 => x"53494d0a",
    00000987 => x"00203a41",
    00000988 => x"5550430a",
    00000989 => x"0020203a",
    00000990 => x"434f530a",
    00000991 => x"0020203a",
    00000992 => x"454d490a",
    00000993 => x"00203a4d",
    00000994 => x"74796220",
    00000995 => x"40207365",
    00000996 => x"00000000",
    00000997 => x"454d440a",
    00000998 => x"00203a4d",
    00000999 => x"75410a0a",
    00001000 => x"6f626f74",
    00001001 => x"6920746f",
    00001002 => x"7338206e",
    00001003 => x"7250202e",
    00001004 => x"20737365",
    00001005 => x"2079656b",
    00001006 => x"61206f74",
    00001007 => x"74726f62",
    00001008 => x"00000a2e",
    00001009 => x"0000000a",
    00001010 => x"726f6241",
    00001011 => x"2e646574",
    00001012 => x"00000a0a",
    00001013 => x"444d430a",
    00001014 => x"00203e3a",
    00001015 => x"61766e49",
    00001016 => x"2064696c",
    00001017 => x"00444d43",
    00001018 => x"33323130",
    00001019 => x"37363534",
    00001020 => x"62613938",
    00001021 => x"66656463"
  );
 
end neorv32_bootloader_image;
 

Go to most recent revision | Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.