OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [sim/] [README.md] - Rev 40

Go to most recent revision | Compare with Previous | Blame | View Log

## Simulation Source Folder

### [`ghdl`](https://github.com/stnolting/neorv32/tree/master/sim/ghdl)

This folder contains a script for simulating the processor using GHDL.

### [`rtl_modules`](https://github.com/stnolting/neorv32/tree/master/sim/rtl_modules)

This folder provides additional/alternative simulation components. The the comments in the according files for more information.

### [`vivado`](https://github.com/stnolting/neorv32/tree/master/sim/vivado)

This folder provides an example waveform configuration (for Xilinx ISIM simulator) for the default testbench.

### [`neorv32_tb.vhd`](https://github.com/stnolting/neorv32/tree/master/sim/neorv32_tb.vhd)

Default testbench for the NEORV32 Processor.

Go to most recent revision | Compare with Previous | Blame | View Log

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.